From b0e42a0133f2cd4f8e3a5ccfc42f0dc9f4b72ab9 Mon Sep 17 00:00:00 2001 From: Salvatore Filippone Date: Wed, 7 Dec 2005 15:00:57 +0000 Subject: [PATCH] *** empty log message *** --- src/modules/psb_realloc_mod.f90 | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/modules/psb_realloc_mod.f90 b/src/modules/psb_realloc_mod.f90 index 40c4498f..ec80d32c 100644 --- a/src/modules/psb_realloc_mod.f90 +++ b/src/modules/psb_realloc_mod.f90 @@ -306,7 +306,7 @@ Contains call psb_errpush(err,name) goto 9999 end if - call psb_dreallocate1i(len,y,info) + call psb_dreallocate1i(len,y,info) if (info /= 0) then err=4000 call psb_errpush(err,name)