diff --git a/base/modules/serial/psb_c_vect_mod.F90 b/base/modules/serial/psb_c_vect_mod.F90 index 2eebb0da..e0488def 100644 --- a/base/modules/serial/psb_c_vect_mod.F90 +++ b/base/modules/serial/psb_c_vect_mod.F90 @@ -784,7 +784,7 @@ contains integer(psb_ipk_), intent(out) :: info if (allocated(z%v)) & - call z%abgdxyz(m,alpha,beta,gamma,delta,x,y,info) + call z%v%abgdxyz(m,alpha,beta,gamma,delta,x%v,y%v,info) end subroutine c_vect_abgdxyz diff --git a/base/modules/serial/psb_d_vect_mod.F90 b/base/modules/serial/psb_d_vect_mod.F90 index bbb966ed..07007452 100644 --- a/base/modules/serial/psb_d_vect_mod.F90 +++ b/base/modules/serial/psb_d_vect_mod.F90 @@ -791,7 +791,7 @@ contains integer(psb_ipk_), intent(out) :: info if (allocated(z%v)) & - call z%abgdxyz(m,alpha,beta,gamma,delta,x,y,info) + call z%v%abgdxyz(m,alpha,beta,gamma,delta,x%v,y%v,info) end subroutine d_vect_abgdxyz diff --git a/base/modules/serial/psb_s_vect_mod.F90 b/base/modules/serial/psb_s_vect_mod.F90 index 0ffd199f..aa16a04d 100644 --- a/base/modules/serial/psb_s_vect_mod.F90 +++ b/base/modules/serial/psb_s_vect_mod.F90 @@ -791,7 +791,7 @@ contains integer(psb_ipk_), intent(out) :: info if (allocated(z%v)) & - call z%abgdxyz(m,alpha,beta,gamma,delta,x,y,info) + call z%v%abgdxyz(m,alpha,beta,gamma,delta,x%v,y%v,info) end subroutine s_vect_abgdxyz diff --git a/base/modules/serial/psb_z_vect_mod.F90 b/base/modules/serial/psb_z_vect_mod.F90 index 1ea1fd4a..58bf6b18 100644 --- a/base/modules/serial/psb_z_vect_mod.F90 +++ b/base/modules/serial/psb_z_vect_mod.F90 @@ -784,7 +784,7 @@ contains integer(psb_ipk_), intent(out) :: info if (allocated(z%v)) & - call z%abgdxyz(m,alpha,beta,gamma,delta,x,y,info) + call z%v%abgdxyz(m,alpha,beta,gamma,delta,x%v,y%v,info) end subroutine z_vect_abgdxyz