diff --git a/base/comm/psb_dhalo.f90 b/base/comm/psb_dhalo.f90 index 95d01fad..792d5707 100644 --- a/base/comm/psb_dhalo.f90 +++ b/base/comm/psb_dhalo.f90 @@ -279,7 +279,7 @@ subroutine psb_dhalov(x,desc_a,info,alpha,work,tran,mode,data) ! locals integer :: ictxt, np, me,& - & err_act, m, n, iix, jjx, ix, ijx, nrow, imode, i,& + & err_act, m, n, iix, jjx, ix, ijx, nrow, imode,& & err, liwork,data_ real(kind(1.d0)),pointer :: iwork(:) character :: ltran diff --git a/base/comm/psb_dscatter.F90 b/base/comm/psb_dscatter.F90 index 24ca2a4c..54991db2 100644 --- a/base/comm/psb_dscatter.F90 +++ b/base/comm/psb_dscatter.F90 @@ -293,7 +293,7 @@ subroutine psb_dscatterv(globx, locx, desc_a, info, iroot) ! locals integer :: int_err(5), ictxt, np, me, & - & err_act, m, n, i, j, idx, nrow, iiroot, iglobx, jglobx,& + & err_act, m, n, i, j, idx, nrow, iglobx, jglobx,& & ilocx, jlocx, lda_locx, lda_globx, root, k, icomm, myrank,& & rootrank, pos, ilx, jlx real(kind(1.d0)), allocatable :: scatterv(:) diff --git a/base/comm/psb_iscatter.F90 b/base/comm/psb_iscatter.F90 index c154bd28..295c6ac9 100644 --- a/base/comm/psb_iscatter.F90 +++ b/base/comm/psb_iscatter.F90 @@ -291,7 +291,7 @@ subroutine psb_iscatterv(globx, locx, desc_a, info, iroot) ! locals integer :: int_err(5), ictxt, np, me, & - & err_act, m, n, i, j, idx, nrow, iiroot, iglobx, jglobx,& + & err_act, m, n, i, j, idx, nrow, iglobx, jglobx,& & ilocx, jlocx, lda_locx, lda_globx, root, k, icomm, myrank,& & rootrank, pos, ilx, jlx integer, allocatable :: scatterv(:) diff --git a/base/comm/psb_zscatter.F90 b/base/comm/psb_zscatter.F90 index 526ad2af..8f4e4f5b 100644 --- a/base/comm/psb_zscatter.F90 +++ b/base/comm/psb_zscatter.F90 @@ -296,9 +296,9 @@ subroutine psb_zscatterv(globx, locx, desc_a, info, iroot) ! locals integer :: int_err(5), ictxt, np, me, & - & err_act, m, n, i, j, idx, nrow, iiroot, iglobx, jglobx,& + & err_act, m, n, i, j, idx, nrow, iglobx, jglobx,& & ilocx, jlocx, lda_locx, lda_globx, root, k, icomm, myrank,& - & rootrank, c, pos, ilx, jlx + & rootrank, pos, ilx, jlx complex(kind(1.d0)), allocatable :: scatterv(:) integer, allocatable :: displ(:), l_t_g_all(:), all_dim(:) character(len=20) :: name, ch_err diff --git a/base/internals/avltree.c b/base/internals/avltree.c index 7ccf4b17..2826c323 100644 --- a/base/internals/avltree.c +++ b/base/internals/avltree.c @@ -246,7 +246,7 @@ AVLTreePtr GetAVLTree() int AVLTreeInit(AVLTreePtr Tree) { - AVLTVectPtr current; + /* AVLTVectPtr current; */ if (Tree==NULL) { fprintf(stderr,"Cannot initialize a NULL Tree pointer\n"); return(-1); diff --git a/base/internals/psi_crea_bnd_elem.f90 b/base/internals/psi_crea_bnd_elem.f90 index 3eb4424a..3b9a5c00 100644 --- a/base/internals/psi_crea_bnd_elem.f90 +++ b/base/internals/psi_crea_bnd_elem.f90 @@ -55,7 +55,7 @@ subroutine psi_crea_bnd_elem(bndel,desc_a,info) integer, intent(out) :: info integer, allocatable :: work(:) - integer :: i, j, nr, ns, k, irv, err_act + integer :: i, j, nr, ns, k, err_act character(len=20) :: name info = 0 diff --git a/base/internals/psi_crea_ovr_elem.f90 b/base/internals/psi_crea_ovr_elem.f90 index 6357cc81..3cce6ecd 100644 --- a/base/internals/psi_crea_ovr_elem.f90 +++ b/base/internals/psi_crea_ovr_elem.f90 @@ -56,12 +56,12 @@ subroutine psi_crea_ovr_elem(desc_overlap,ovr_elem,info) integer, intent(out) :: info ! ...local scalars... - integer :: i,pnt_new_elem,ret,j,iret + integer :: i,pnt_new_elem,ret,j integer :: dim_ovr_elem integer :: pairtree(2) ! ...external function... - integer :: psi_exist_ovr_elem,dim + integer :: psi_exist_ovr_elem external :: psi_exist_ovr_elem integer :: nel, ip, ix, iel, insize, err_act diff --git a/base/internals/psi_desc_index.F90 b/base/internals/psi_desc_index.F90 index 01924429..526e1c0f 100644 --- a/base/internals/psi_desc_index.F90 +++ b/base/internals/psi_desc_index.F90 @@ -123,8 +123,7 @@ subroutine psi_desc_index(desc,index_in,dep_list,& integer :: j,me,np,i,proc ! ...parameters... integer :: ictxt - integer :: no_comm,err - parameter (no_comm=-1) + integer, parameter :: no_comm=-1 ! ...local arrays.. integer,allocatable :: brvindx(:),rvsz(:),& & bsdindx(:),sdsz(:), sndbuf(:), rcvbuf(:) diff --git a/base/internals/psi_dswapdata.F90 b/base/internals/psi_dswapdata.F90 index ec7181f1..b44da06d 100644 --- a/base/internals/psi_dswapdata.F90 +++ b/base/internals/psi_dswapdata.F90 @@ -103,9 +103,9 @@ subroutine psi_dswapdatam(flag,n,beta,y,desc_a,work,info,data) integer, optional :: data ! locals - integer :: ictxt, np, me, point_to_proc, nesd, nerv,& + integer :: ictxt, np, me, nesd, nerv,& & proc_to_comm, p2ptag, icomm, p2pstat(mpi_status_size),& - & idxs, idxr, iret, err_act, totxch, ixrec, i, idx_pt,& + & idxs, idxr, iret, err_act, totxch, i, idx_pt,& & snd_pt, rcv_pt, pnti, data_ integer, allocatable, dimension(:) :: bsdidx, brvidx,& & sdsz, rvsz, prcid, rvhd, sdhd @@ -577,9 +577,9 @@ subroutine psi_dswapdatav(flag,beta,y,desc_a,work,info,data) integer, optional :: data ! locals - integer :: ictxt, np, me, point_to_proc, nesd, nerv,& + integer :: ictxt, np, me, nesd, nerv,& & proc_to_comm, p2ptag, icomm, p2pstat(mpi_status_size),& - & idxs, idxr, iret, err_act, totxch, ixrec, i, & + & idxs, idxr, iret, err_act, totxch, i, & & idx_pt, snd_pt, rcv_pt, n, pnti, data_ integer, allocatable, dimension(:) :: bsdidx, brvidx,& diff --git a/base/internals/psi_dswaptran.F90 b/base/internals/psi_dswaptran.F90 index 537be1bc..df6b7b10 100644 --- a/base/internals/psi_dswaptran.F90 +++ b/base/internals/psi_dswaptran.F90 @@ -106,9 +106,9 @@ subroutine psi_dswaptranm(flag,n,beta,y,desc_a,work,info,data) integer, optional :: data ! locals - integer :: ictxt, np, me, point_to_proc, nesd, nerv,& + integer :: ictxt, np, me, nesd, nerv,& & proc_to_comm, p2ptag, icomm, p2pstat(mpi_status_size),& - & idxs, idxr, iret, err_act, totxch, ixrec, i, idx_pt,& + & idxs, idxr, iret, err_act, totxch, i, idx_pt,& & snd_pt, rcv_pt, pnti integer, allocatable, dimension(:) :: bsdidx, brvidx,& & sdsz, rvsz, prcid, rvhd, sdhd @@ -575,9 +575,9 @@ subroutine psi_dswaptranv(flag,beta,y,desc_a,work,info,data) integer, optional :: data ! locals - integer :: ictxt, np, me, point_to_proc, nesd, nerv,& + integer :: ictxt, np, me, nesd, nerv,& & proc_to_comm, p2ptag, icomm, p2pstat(mpi_status_size),& - & idxs, idxr, iret, err_act, totxch, ixrec, i, & + & idxs, idxr, iret, err_act, totxch, i, & & idx_pt, snd_pt, rcv_pt, n, pnti integer, allocatable, dimension(:) :: bsdidx, brvidx,& diff --git a/base/internals/psi_extrct_dl.F90 b/base/internals/psi_extrct_dl.F90 index c1c95c1d..3bef2b4b 100644 --- a/base/internals/psi_extrct_dl.F90 +++ b/base/internals/psi_extrct_dl.F90 @@ -137,10 +137,9 @@ subroutine psi_extract_dep_list(desc_data,desc_str,dep_list,& integer, allocatable :: itmp(:) ! .....local arrays.... integer int_err(5) - double precision real_err(5) ! .....local scalars... - integer i,nprow,npcol,me,mycol,pointer_dep_list,proc,j,err_act + integer i,me,nprow,pointer_dep_list,proc,j,err_act integer ictxt, err, icomm logical, parameter :: debug=.false. character name*20 diff --git a/base/internals/psi_fnd_owner.f90 b/base/internals/psi_fnd_owner.f90 index dc5f3a38..19609e3f 100644 --- a/base/internals/psi_fnd_owner.f90 +++ b/base/internals/psi_fnd_owner.f90 @@ -62,10 +62,10 @@ subroutine psi_fnd_owner(nv,idx,iprc,desc,info) integer,allocatable :: hsz(:),hidx(:),helem(:),hproc(:) - integer :: i,j,err,n_row,n_col, err_act,ih,nh,icomm,hsize + integer :: i,n_row,n_col, err_act,ih,icomm,hsize integer :: ictxt,np,me logical, parameter :: debug=.false., debugwrt=.false. - character(len=20) :: name,ch_err + character(len=20) :: name info = 0 name = 'psi_fnd_owner' diff --git a/base/internals/psi_gthsct_mod.f90 b/base/internals/psi_gthsct_mod.f90 index 24a4f20f..85495d47 100644 --- a/base/internals/psi_gthsct_mod.f90 +++ b/base/internals/psi_gthsct_mod.f90 @@ -82,7 +82,7 @@ contains real(kind(1.d0)) :: x(:), y(:) ! Locals - integer :: i, j + integer :: i do i=1,n y(i)=x(idx(i)) @@ -134,11 +134,11 @@ contains use psb_const_mod implicit none - integer :: n, k, idx(:) + integer :: n, idx(:) real(kind(1.d0)) :: beta, x(:), y(:) ! Locals - integer :: i, j, pt + integer :: i if (beta == dzero) then do i=1,n @@ -187,7 +187,7 @@ contains integer :: x(:), y(:) ! Locals - integer :: i, j + integer :: i do i=1,n y(i)=x(idx(i)) @@ -240,11 +240,11 @@ contains use psb_const_mod implicit none - integer :: n, k, idx(:) + integer :: n, idx(:) integer :: beta, x(:), y(:) ! Locals - integer :: i, j, pt + integer :: i if (beta == izero) then do i=1,n @@ -293,7 +293,7 @@ contains complex(kind(1.d0)) :: x(:), y(:) ! Locals - integer :: i, j + integer :: i do i=1,n y(i)=x(idx(i)) @@ -345,11 +345,11 @@ contains use psb_const_mod implicit none - integer :: n, k, idx(:) + integer :: n, idx(:) complex(kind(1.d0)) :: beta, x(:), y(:) ! Locals - integer :: i, j, pt + integer :: i if (beta == zzero) then do i=1,n diff --git a/base/internals/psi_idx_cnv.f90 b/base/internals/psi_idx_cnv.f90 index 0de87f0f..db028acc 100644 --- a/base/internals/psi_idx_cnv.f90 +++ b/base/internals/psi_idx_cnv.f90 @@ -57,13 +57,13 @@ subroutine psi_idx_cnv1(nv,idxin,desc,info,mask,owned) integer, intent(out) :: info logical, intent(in), optional, target :: mask(:) logical, intent(in), optional :: owned - integer :: i,ictxt,row,k,mglob, nglob,err - integer :: np, me, isize - integer :: pnt_halo,nrow,ncol, nh, ip, err_act,lip,nxt + integer :: ictxt,mglob, nglob + integer :: np, me + integer :: nrow,ncol, err_act integer, allocatable :: idxout(:) logical, parameter :: debug=.false. integer, parameter :: relocsz=200 - character(len=20) :: name,ch_err + character(len=20) :: name logical, pointer :: mask_(:) logical :: owned_ @@ -212,12 +212,12 @@ subroutine psi_idx_cnv2(nv,idxin,idxout,desc,info,mask,owned) integer, intent(out) :: info logical, intent(in), optional, target :: mask(:) logical, intent(in), optional :: owned - integer :: i,ictxt,row,k,mglob, nglob,err - integer :: np, me, isize - integer :: pnt_halo,nrow,ncol, nh, ip, err_act,lip,nxt + integer :: i,ictxt,mglob, nglob + integer :: np, me + integer :: nrow,ncol, ip, err_act,lip logical, parameter :: debug=.false. integer, parameter :: relocsz=200 - character(len=20) :: name,ch_err + character(len=20) :: name logical, pointer :: mask_(:) logical :: owned_ @@ -323,8 +323,7 @@ subroutine psi_idx_cnv2(nv,idxin,idxout,desc,info,mask,owned) if (.not.allocated(desc%hashv)) then write(0,*) 'Inconsistent input to inner_cnv' end if - call psi_inner_cnv(nv,idxin,idxout,psb_hash_size,psb_hash_mask,& - & desc%hashv,desc%glb_lc) + call psi_inner_cnv(nv,idxin,idxout,psb_hash_mask,desc%hashv,desc%glb_lc) end if else diff --git a/base/internals/psi_idx_ins_cnv.f90 b/base/internals/psi_idx_ins_cnv.f90 index 787e418c..7205ea81 100644 --- a/base/internals/psi_idx_ins_cnv.f90 +++ b/base/internals/psi_idx_ins_cnv.f90 @@ -60,13 +60,13 @@ subroutine psi_idx_ins_cnv1(nv,idxin,desc,info,mask) type(psb_desc_type), intent(inout) :: desc integer, intent(out) :: info logical, intent(in), optional, target :: mask(:) - integer :: i,ictxt,row,k,mglob, nglob,err - integer :: np, me, isize - integer :: pnt_halo,nrow,ncol, nh, ip, err_act,lip,nxt + integer :: ictxt,mglob, nglob + integer :: np, me + integer :: nrow,ncol, err_act integer, allocatable :: idxout(:) logical, parameter :: debug=.false. integer, parameter :: relocsz=200 - character(len=20) :: name,ch_err + character(len=20) :: name logical, pointer :: mask_(:) info = 0 @@ -211,9 +211,9 @@ subroutine psi_idx_ins_cnv2(nv,idxin,idxout,desc,info,mask) type(psb_desc_type), intent(inout) :: desc integer, intent(out) :: info logical, intent(in), optional, target :: mask(:) - integer :: i,ictxt,row,k,mglob, nglob,err + integer :: i,ictxt,k,mglob, nglob integer :: np, me, isize - integer :: pnt_halo,nrow,ncol, nh, ip, err_act,lip,nxt,il1 + integer :: pnt_halo,nrow,ncol, nh, ip, err_act,lip,nxt logical, parameter :: debug=.false. integer, parameter :: relocsz=200 character(len=20) :: name,ch_err diff --git a/base/internals/psi_iswapdata.F90 b/base/internals/psi_iswapdata.F90 index 2062009e..a96ff545 100644 --- a/base/internals/psi_iswapdata.F90 +++ b/base/internals/psi_iswapdata.F90 @@ -102,9 +102,9 @@ subroutine psi_iswapdatam(flag,n,beta,y,desc_a,work,info,data) integer, optional :: data ! locals - integer :: ictxt, np, me, point_to_proc, nesd, nerv,& + integer :: ictxt, np, me, nesd, nerv,& & proc_to_comm, p2ptag, icomm, p2pstat(mpi_status_size),& - & idxs, idxr, iret, err_act, totxch, ixrec, i, idx_pt,& + & idxs, idxr, iret, err_act, totxch, i, idx_pt,& & snd_pt, rcv_pt, pnti, data_ integer, allocatable, dimension(:) :: bsdidx, brvidx,& & sdsz, rvsz, prcid, rvhd, sdhd @@ -576,9 +576,9 @@ subroutine psi_iswapdatav(flag,beta,y,desc_a,work,info,data) integer, optional :: data ! locals - integer :: ictxt, np, me, point_to_proc, nesd, nerv,& + integer :: ictxt, np, me, nesd, nerv,& & proc_to_comm, p2ptag, icomm, p2pstat(mpi_status_size),& - & idxs, idxr, iret, err_act, totxch, ixrec, i, & + & idxs, idxr, iret, err_act, totxch, i, & & idx_pt, snd_pt, rcv_pt, n, pnti, data_ integer, allocatable, dimension(:) :: bsdidx, brvidx,& diff --git a/base/internals/psi_iswaptran.F90 b/base/internals/psi_iswaptran.F90 index 1ad084c0..54d1e6d2 100644 --- a/base/internals/psi_iswaptran.F90 +++ b/base/internals/psi_iswaptran.F90 @@ -106,9 +106,9 @@ subroutine psi_iswaptranm(flag,n,beta,y,desc_a,work,info,data) integer, optional :: data ! locals - integer :: ictxt, np, me, point_to_proc, nesd, nerv,& + integer :: ictxt, np, me, nesd, nerv,& & proc_to_comm, p2ptag, icomm, p2pstat(mpi_status_size),& - & idxs, idxr, iret, err_act, totxch, ixrec, i, idx_pt,& + & idxs, idxr, iret, err_act, totxch, i, idx_pt,& & snd_pt, rcv_pt, pnti integer, allocatable, dimension(:) :: bsdidx, brvidx,& & sdsz, rvsz, prcid, rvhd, sdhd @@ -574,9 +574,9 @@ subroutine psi_iswaptranv(flag,beta,y,desc_a,work,info,data) integer, optional :: data ! locals - integer :: ictxt, np, me, point_to_proc, nesd, nerv,& + integer :: ictxt, np, me, nesd, nerv,& & proc_to_comm, p2ptag, icomm, p2pstat(mpi_status_size),& - & idxs, idxr, iret, err_act, totxch, ixrec, i, & + & idxs, idxr, iret, err_act, totxch, i, & & idx_pt, snd_pt, rcv_pt, n, pnti integer, allocatable, dimension(:) :: bsdidx, brvidx,& diff --git a/base/internals/psi_ldsc_pre_halo.f90 b/base/internals/psi_ldsc_pre_halo.f90 index a24a21f6..f5e7940e 100644 --- a/base/internals/psi_ldsc_pre_halo.f90 +++ b/base/internals/psi_ldsc_pre_halo.f90 @@ -63,8 +63,8 @@ subroutine psi_ldsc_pre_halo(desc,ext_hv,info) integer,allocatable :: helem(:),hproc(:) integer,allocatable :: tmphl(:) - integer :: i,j,err,np,me,lhalo,nhalo,& - & n_col, err_act, key, ih, nh, idx, nk,icomm,hsize + integer :: i,j,np,me,lhalo,nhalo,& + & n_col, err_act, key, ih, nh, idx, nk,icomm integer :: ictxt,n_row logical, parameter :: debug=.false., debugwrt=.false. character(len=20) :: name,ch_err diff --git a/base/internals/psi_zswapdata.F90 b/base/internals/psi_zswapdata.F90 index 7086dfc3..88c9825e 100644 --- a/base/internals/psi_zswapdata.F90 +++ b/base/internals/psi_zswapdata.F90 @@ -102,9 +102,9 @@ subroutine psi_zswapdatam(flag,n,beta,y,desc_a,work,info,data) integer, optional :: data ! locals - integer :: ictxt, np, me, point_to_proc, nesd, nerv,& + integer :: ictxt, np, me, nesd, nerv,& & proc_to_comm, p2ptag, icomm, p2pstat(mpi_status_size),& - & idxs, idxr, iret, err_act, totxch, ixrec, i, idx_pt,& + & idxs, idxr, iret, err_act, totxch, i, idx_pt,& & snd_pt, rcv_pt, pnti, data_ integer, allocatable, dimension(:) :: bsdidx, brvidx,& & sdsz, rvsz, prcid, rvhd, sdhd @@ -576,9 +576,9 @@ subroutine psi_zswapdatav(flag,beta,y,desc_a,work,info,data) integer, optional :: data ! locals - integer :: ictxt, np, me, point_to_proc, nesd, nerv,& + integer :: ictxt, np, me, nesd, nerv,& & proc_to_comm, p2ptag, icomm, p2pstat(mpi_status_size),& - & idxs, idxr, iret, err_act, totxch, ixrec, i, & + & idxs, idxr, iret, err_act, totxch, i, & & idx_pt, snd_pt, rcv_pt, n, pnti, data_ integer, allocatable, dimension(:) :: bsdidx, brvidx,& diff --git a/base/internals/psi_zswaptran.F90 b/base/internals/psi_zswaptran.F90 index e3d469fe..dc1305a0 100644 --- a/base/internals/psi_zswaptran.F90 +++ b/base/internals/psi_zswaptran.F90 @@ -106,9 +106,9 @@ subroutine psi_zswaptranm(flag,n,beta,y,desc_a,work,info,data) integer, optional :: data ! locals - integer :: ictxt, np, me, point_to_proc, nesd, nerv,& + integer :: ictxt, np, me, nesd, nerv,& & proc_to_comm, p2ptag, icomm, p2pstat(mpi_status_size),& - & idxs, idxr, iret, err_act, totxch, ixrec, i, idx_pt,& + & idxs, idxr, iret, err_act, totxch, i, idx_pt,& & snd_pt, rcv_pt, pnti integer, allocatable, dimension(:) :: bsdidx, brvidx,& & sdsz, rvsz, prcid, rvhd, sdhd @@ -574,9 +574,9 @@ subroutine psi_zswaptranv(flag,beta,y,desc_a,work,info,data) integer, optional :: data ! locals - integer :: ictxt, np, me, point_to_proc, nesd, nerv,& + integer :: ictxt, np, me, nesd, nerv,& & proc_to_comm, p2ptag, icomm, p2pstat(mpi_status_size),& - & idxs, idxr, iret, err_act, totxch, ixrec, i, & + & idxs, idxr, iret, err_act, totxch, i, & & idx_pt, snd_pt, rcv_pt, n, pnti integer, allocatable, dimension(:) :: bsdidx, brvidx,& diff --git a/base/internals/srcht.c b/base/internals/srcht.c index 316f6935..698f7df7 100644 --- a/base/internals/srcht.c +++ b/base/internals/srcht.c @@ -329,20 +329,22 @@ void SearchInsKeyVal(fptr *ftree, int *key, int *val, int *res, int *iret) } - +#define USECACHE 0 void SearchKeyVal(fptr *ftree, int *key, int *res, int *iret) { PairTreePtr PTree; KeyPair node; AVLNodePtr noderes; KeyPairPtr result; +#if USECACHE int i,sv[2]; int info; +#endif *iret = 0; PTree = (PairTreePtr) *ftree; -#if 0 +#if USECACHE for (i=0; icache[0][i] == *key) { *res=PTree->cache[1][i]; @@ -365,7 +367,7 @@ void SearchKeyVal(fptr *ftree, int *key, int *res, int *iret) } else { result = (KeyPairPtr) noderes->key; *res = result->val; -#if 0 +#if USECACHE for (i=CACHESIZE-1; i>0; i--) { PTree->cache[0][i]=PTree->cache[0][i-1]; PTree->cache[0][i]=PTree->cache[1][i-1]; @@ -384,7 +386,7 @@ void SearchKeyVal(fptr *ftree, int *key, int *res, int *iret) void PairTreeVisit(AVLNodePtr current, PairTreePtr PTree) { KeyPairPtr node,inode; - int info,i; + int info; if (current==NULL) return; inode = (KeyPairPtr) current->key; @@ -402,8 +404,7 @@ void PairTreeVisit(AVLNodePtr current, PairTreePtr PTree) void ClonePairSearchTree(fptr *ftreein, fptr *ftreeout) { PairTreePtr PTreein, PTreeout; - int i,j; - AVLNodePtr nodept; + int i; PTreein = (PairTreePtr) *ftreein; diff --git a/base/modules/psb_check_mod.f90 b/base/modules/psb_check_mod.f90 index f5b7a033..b1b95ae9 100644 --- a/base/modules/psb_check_mod.f90 +++ b/base/modules/psb_check_mod.f90 @@ -78,7 +78,7 @@ contains ! locals integer :: err_act, int_err(5) - character(len=20) :: name, ch_err + character(len=20) :: name if(psb_get_errstatus() /= 0) return info=0 @@ -203,7 +203,7 @@ contains ! locals integer :: err_act, int_err(5) - character(len=20) :: name, ch_err + character(len=20) :: name if(psb_get_errstatus() /= 0) return info=0 @@ -327,7 +327,7 @@ contains ! locals integer :: err_act, int_err(5) - character(len=20) :: name, ch_err + character(len=20) :: name if(psb_get_errstatus() /= 0) return info=0 diff --git a/base/modules/psb_desc_type.f90 b/base/modules/psb_desc_type.f90 index 6d47f278..b6d8f492 100644 --- a/base/modules/psb_desc_type.f90 +++ b/base/modules/psb_desc_type.f90 @@ -320,7 +320,7 @@ contains implicit none integer, intent(in) :: ictxt,m !locals - integer :: np,me, isz, err_act,idx,gidx,lidx + integer :: np,me call psb_info(ictxt, me, np) ! @@ -335,7 +335,8 @@ contains subroutine psb_nullify_desc(desc) type(psb_desc_type), intent(inout) :: desc - + ! We have nothing left to do here. + ! Perhaps we should delete this subroutine? end subroutine psb_nullify_desc logical function psb_is_ok_desc(desc) @@ -488,9 +489,9 @@ contains type(psb_desc_type), intent(inout) :: desc integer :: info !locals - integer :: np,me,ictxt, isz, err_act,idx,gidx,lidx + integer :: np,me,ictxt, err_act,idx,gidx,lidx logical, parameter :: debug=.false.,debugprt=.false. - character(len=20) :: name, char_err + character(len=20) :: name if (debug) write(0,*) me,'Entered CDCPY' if (psb_get_errstatus() /= 0) return info = 0 diff --git a/base/modules/psb_realloc_mod.F90 b/base/modules/psb_realloc_mod.F90 index 5b61784d..9225716d 100644 --- a/base/modules/psb_realloc_mod.F90 +++ b/base/modules/psb_realloc_mod.F90 @@ -634,7 +634,7 @@ Contains integer, optional, intent(in) :: lb ! ...Local Variables Integer,allocatable :: tmp(:) - Integer :: dim, err_act, err,i,lb_, lbi, ub_ + Integer :: dim, err_act, err,lb_, lbi, ub_ character(len=20) :: name logical, parameter :: debug=.false. @@ -712,7 +712,7 @@ Contains ! ...Local Variables Real(kind(1.d0)),allocatable :: tmp(:) - Integer :: dim,err_act,err,m, lb_, lbi,ub_ + Integer :: dim,err_act,err, lb_, lbi,ub_ character(len=20) :: name logical, parameter :: debug=.false. @@ -787,7 +787,7 @@ Contains ! ...Local Variables complex(kind(1.d0)),allocatable :: tmp(:) - Integer :: dim,err_act,err,i,lb_,ub_,lbi + Integer :: dim,err_act,err,lb_,ub_,lbi character(len=20) :: name logical, parameter :: debug=.false. @@ -862,7 +862,7 @@ Contains ! ...Local Variables Real(kind(1.d0)),allocatable :: tmp(:,:) - Integer :: dim,err_act,err,i, m, dim2,lb1_, lb2_, ub1_, ub2_,& + Integer :: dim,err_act,err, dim2,lb1_, lb2_, ub1_, ub2_,& & lbi1, lbi2 character(len=20) :: name @@ -955,7 +955,7 @@ Contains ! ...Local Variables complex(kind(1.d0)),allocatable :: tmp(:,:) - Integer :: dim,err_act,err,i, m, dim2,lb1_, lb2_, ub1_, ub2_,& + Integer :: dim,err_act,err,dim2,lb1_, lb2_, ub1_, ub2_,& & lbi1, lbi2 character(len=20) :: name @@ -1047,7 +1047,7 @@ Contains ! ...Local Variables integer,allocatable :: tmp(:,:) - Integer :: dim,err_act,err,i, m, dim2,lb1_, lb2_, ub1_, ub2_,& + Integer :: dim,err_act,err, dim2,lb1_, lb2_, ub1_, ub2_,& & lbi1, lbi2 character(len=20) :: name diff --git a/base/modules/psb_sort_mod.f90 b/base/modules/psb_sort_mod.f90 index de88a557..763f567a 100644 --- a/base/modules/psb_sort_mod.f90 +++ b/base/modules/psb_sort_mod.f90 @@ -28,6 +28,18 @@ !!$ POSSIBILITY OF SUCH DAMAGE. !!$ !!$ +! +! The merge-sort and quicksort routines are implemented in the +! serial/aux directory +! References: +! D. Knuth +! The Art of Computer Programming, vol. 3 +! Addison-Wesley +! +! Aho, Hopcroft, Ullman +! Data Structures and Algorithms +! Addison-Wesley +! module psb_sort_mod @@ -292,7 +304,7 @@ contains integer, intent(out) :: nout integer, optional, intent(in) :: dir - integer :: dir_, flag_, n, err_act + integer :: dir_, n, err_act character(len=20) :: name @@ -958,8 +970,7 @@ contains integer, intent(in) :: key type(psb_int_heap), intent(inout) :: heap integer, intent(out) :: info - integer :: i, i2 - integer :: temp + info = 0 if (heap%last < 0) then write(0,*) 'Invalid last in heap ',heap%last @@ -986,10 +997,6 @@ contains type(psb_int_heap), intent(inout) :: heap integer, intent(out) :: key,info - integer :: i, i2, last,j - integer :: temp - - info = 0 call psi_int_heap_get_first(key,heap%last,heap%keys,heap%dir,info) @@ -1070,8 +1077,7 @@ contains integer, intent(in) :: index type(psb_double_idx_heap), intent(inout) :: heap integer, intent(out) :: info - integer :: i, i2, itemp - real(kind(1.d0)) :: temp + info = 0 if (heap%last < 0) then write(0,*) 'Invalid last in heap ',heap%last @@ -1101,10 +1107,6 @@ contains integer, intent(out) :: index,info real(kind(1.d0)), intent(out) :: key - integer :: i, i2, last,j,itemp - real(kind(1.d0)) :: temp - - info = 0 call psi_double_idx_heap_get_first(key,index,& @@ -1184,8 +1186,7 @@ contains integer, intent(in) :: index type(psb_int_idx_heap), intent(inout) :: heap integer, intent(out) :: info - integer :: i, i2, itemp - integer :: temp + info = 0 if (heap%last < 0) then write(0,*) 'Invalid last in heap ',heap%last @@ -1215,10 +1216,6 @@ contains integer, intent(out) :: index,info integer, intent(out) :: key - integer :: i, i2, last,j,itemp - integer :: temp - - info = 0 call psi_int_idx_heap_get_first(key,index,& @@ -1301,8 +1298,7 @@ contains integer, intent(in) :: index type(psb_dcomplex_idx_heap), intent(inout) :: heap integer, intent(out) :: info - integer :: i, i2, itemp - complex(kind(1.d0)) :: temp + info = 0 if (heap%last < 0) then write(0,*) 'Invalid last in heap ',heap%last @@ -1331,12 +1327,8 @@ contains integer, intent(out) :: index,info complex(kind(1.d0)), intent(out) :: key - integer :: i, i2, last,j,itemp - complex(kind(1.d0)) :: temp - info = 0 - call psi_dcomplex_idx_heap_get_first(key,index,& & heap%last,heap%keys,heap%idxs,heap%dir,info) @@ -1463,7 +1455,7 @@ contains integer, intent(inout) :: heap(:) integer, intent(out) :: info - integer :: i, i2,j + integer :: i, j integer :: temp @@ -1693,7 +1685,7 @@ contains real(kind(1.d0)), intent(inout) :: heap(:) integer, intent(out) :: info - integer :: i, i2,j + integer :: i, j real(kind(1.d0)) :: temp @@ -1923,7 +1915,7 @@ contains complex(kind(1.d0)), intent(inout) :: heap(:) integer, intent(out) :: info - integer :: i, i2,j + integer :: i, j complex(kind(1.d0)) :: temp @@ -2169,7 +2161,7 @@ contains integer, intent(in) :: dir integer, intent(out) :: key - integer :: i, i2, j,itemp + integer :: i, j,itemp integer :: temp info = 0 @@ -2426,7 +2418,7 @@ contains integer, intent(in) :: dir real(kind(1.d0)), intent(out) :: key - integer :: i, i2, j,itemp + integer :: i, j,itemp real(kind(1.d0)) :: temp info = 0 @@ -2684,7 +2676,7 @@ contains integer, intent(in) :: dir complex(kind(1.d0)), intent(out) :: key - integer :: i, i2, j, itemp + integer :: i, j, itemp complex(kind(1.d0)) :: temp info = 0 diff --git a/base/modules/psb_spmat_type.f90 b/base/modules/psb_spmat_type.f90 index ae12b209..0b50c224 100644 --- a/base/modules/psb_spmat_type.f90 +++ b/base/modules/psb_spmat_type.f90 @@ -696,10 +696,9 @@ contains !....Parameters... Type(psb_dspmat_type), intent(inout) :: A Integer, intent(out) :: info - Integer :: i1, i2, ia !locals - Integer :: nza + Integer :: i1, i2, ia logical, parameter :: debug=.false. info = 0 @@ -717,10 +716,8 @@ contains !....Parameters... Type(psb_zspmat_type), intent(inout) :: A Integer, intent(out) :: info - Integer :: i1, i2, ia - !locals - Integer :: nza + Integer :: i1, i2, ia logical, parameter :: debug=.false. info = 0 @@ -1395,7 +1392,7 @@ contains integer, intent(out) :: ires, info integer, intent(in), optional :: iaux - integer :: i,j,k,ip,jp,nr,irw,nz, err_act, row, ipx, pia, pja, rb,idx, nc + integer :: j,ip,jp,nr,irw,nz, err_act, row, ipx, pia, pja, rb,idx, nc integer, pointer :: ia1(:), ia2(:), ia3(:), ja(:) character(len=20) :: name, ch_err @@ -1555,7 +1552,7 @@ contains integer, intent(out) :: ires, info integer, intent(in), optional :: iaux - integer :: i,j,k,ip,jp,nr,irw,nz, err_act, row, ipx, pia, pja, rb,idx, nc + integer :: j,ip,jp,nr,irw,nz, err_act, row, ipx, pia, pja, rb,idx, nc integer, pointer :: ia1(:), ia2(:), ia3(:), ja(:) character(len=20) :: name, ch_err diff --git a/base/modules/psb_tools_mod.f90 b/base/modules/psb_tools_mod.f90 index 2ba5fc3a..ca7a7af4 100644 --- a/base/modules/psb_tools_mod.f90 +++ b/base/modules/psb_tools_mod.f90 @@ -583,7 +583,7 @@ contains integer, intent(out) :: info end subroutine psb_cdrep end interface - character(len=20) :: name, char_err + character(len=20) :: name integer :: err_act, n_, flag_, i, me, np, nlp integer, allocatable :: itmpsz(:) diff --git a/base/modules/psi_mod.f90 b/base/modules/psi_mod.f90 index c50f1cbf..6d28fa3b 100644 --- a/base/modules/psi_mod.f90 +++ b/base/modules/psi_mod.f90 @@ -310,10 +310,9 @@ contains integer, intent(out) :: info ! ....local scalars.... - integer :: i,np,me,proc, max_index + integer :: np,me integer :: ictxt, err_act,nxch,nsnd,nrcv ! ...local array... - integer :: int_err(5) integer, allocatable :: idx_out(:) ! ...parameters @@ -416,8 +415,8 @@ contains - subroutine psi_inner_cnv1(n,x,hashsize,hashmask,hashv,glb_lc) - integer, intent(in) :: n, hashsize,hashmask,hashv(0:),glb_lc(:,:) + subroutine psi_inner_cnv1(n,x,hashmask,hashv,glb_lc) + integer, intent(in) :: n,hashmask,hashv(0:),glb_lc(:,:) integer, intent(inout) :: x(:) integer :: i, ih, key, idx,nh,tmp,lb,ub,lm @@ -462,8 +461,8 @@ contains end subroutine psi_inner_cnv1 - subroutine psi_inner_cnv2(n,x,y,hashsize,hashmask,hashv,glb_lc) - integer, intent(in) :: n, hashsize,hashmask,hashv(0:),glb_lc(:,:) + subroutine psi_inner_cnv2(n,x,y,hashmask,hashv,glb_lc) + integer, intent(in) :: n, hashmask,hashv(0:),glb_lc(:,:) integer, intent(in) :: x(:) integer, intent(out) :: y(:) diff --git a/base/psblas/psb_damax.f90 b/base/psblas/psb_damax.f90 index 5ac4eb65..6a887c0d 100644 --- a/base/psblas/psb_damax.f90 +++ b/base/psblas/psb_damax.f90 @@ -59,7 +59,7 @@ function psb_damax (x,desc_a, info, jx) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, m, i, k, imax, idamax + & err_act, iix, jjx, ix, ijx, m, imax, idamax real(kind(1.d0)) :: amax character(len=20) :: name, ch_err @@ -183,8 +183,8 @@ function psb_damaxv (x,desc_a, info) real(kind(1.d0)) :: psb_damaxv ! locals - integer :: err, ictxt, np, me,& - & err_act, n, iix, jjx, jx, ix, ijx, m, imax, idamax + integer :: ictxt, np, me,& + & err_act, iix, jjx, jx, ix, m, imax, idamax real(kind(1.d0)) :: amax character(len=20) :: name, ch_err @@ -307,7 +307,7 @@ subroutine psb_damaxvs (res,x,desc_a, info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, m, imax, idamax + & err_act, iix, jjx, ix, ijx, m, imax, idamax real(kind(1.d0)) :: amax character(len=20) :: name, ch_err @@ -429,7 +429,7 @@ subroutine psb_dmamaxs (res,x,desc_a, info,jx) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, m, imax, i, k, idamax + & err_act, iix, jjx, ix, ijx, m, imax, i, k, idamax real(kind(1.d0)) :: amax character(len=20) :: name, ch_err diff --git a/base/psblas/psb_dasum.f90 b/base/psblas/psb_dasum.f90 index 17361092..be0c24c2 100644 --- a/base/psblas/psb_dasum.f90 +++ b/base/psblas/psb_dasum.f90 @@ -59,7 +59,7 @@ function psb_dasum (x,desc_a, info, jx) real(kind(1.d0)) :: psb_dasum ! locals - integer :: ictxt, np, me, err_act, n, & + integer :: ictxt, np, me, err_act, & & iix, jjx, ix, ijx, m, i real(kind(1.d0)) :: asum, dasum character(len=20) :: name, ch_err @@ -202,7 +202,7 @@ function psb_dasumv (x,desc_a, info) real(kind(1.d0)) :: psb_dasumv ! locals - integer :: ictxt, np, me, err_act, n, iix, jjx, jx, ix, ijx, m, i + integer :: ictxt, np, me, err_act, iix, jjx, jx, ix, m, i real(kind(1.d0)) :: asum, dasum character(len=20) :: name, ch_err @@ -340,7 +340,7 @@ subroutine psb_dasumvs (res,x,desc_a, info) integer, intent(out) :: info ! locals - integer :: ictxt, np, me, err_act, n, iix, jjx, ix, jx, ijx, m, i + integer :: ictxt, np, me, err_act, iix, jjx, ix, jx, m, i real(kind(1.d0)) :: asum, dasum character(len=20) :: name, ch_err diff --git a/base/psblas/psb_daxpby.f90 b/base/psblas/psb_daxpby.f90 index 0c6613e4..5670f255 100644 --- a/base/psblas/psb_daxpby.f90 +++ b/base/psblas/psb_daxpby.f90 @@ -67,7 +67,6 @@ subroutine psb_daxpby(alpha, x, beta,y,desc_a,info, n, jx, jy) ! locals integer :: ictxt, np, me,& & err_act, iix, jjx, ix, iy, ijx, ijy, m, iiy, in, jjy - real(kind(1.d0)),pointer :: tmpx(:) character(len=20) :: name, ch_err name='psb_dgeaxpby' @@ -219,7 +218,7 @@ subroutine psb_daxpbyv(alpha, x, beta,y,desc_a,info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, iy, ijx, m, iiy, in, jjy + & err_act, iix, jjx, ix, iy, m, iiy, jjy character(len=20) :: name, ch_err logical, parameter :: debug=.false. diff --git a/base/psblas/psb_ddot.f90 b/base/psblas/psb_ddot.f90 index 0a6f6539..9d530e0f 100644 --- a/base/psblas/psb_ddot.f90 +++ b/base/psblas/psb_ddot.f90 @@ -62,7 +62,7 @@ function psb_ddot(x, y,desc_a, info, jx, jy) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, iy, ijy, iiy, jjy, i, m, j, k + & err_act, iix, jjx, ix, ijx, iy, ijy, iiy, jjy, i, m real(kind(1.D0)) :: dot_local real(kind(1.d0)) :: ddot character(len=20) :: name, ch_err @@ -215,8 +215,8 @@ function psb_ddotv(x, y,desc_a, info) real(kind(1.D0)) :: psb_ddotv ! locals - integer :: int_err(5), ictxt, np, me,& - & err_act, n, iix, jjx, ix, jx, iy, jy, iiy, jjy, i, m, j, k + integer :: ictxt, np, me,& + & err_act, iix, jjx, ix, jx, iy, jy, iiy, jjy, i, m real(kind(1.D0)) :: dot_local real(kind(1.d0)) :: ddot character(len=20) :: name, ch_err @@ -353,8 +353,8 @@ subroutine psb_ddotvs(res, x, y,desc_a, info) integer, intent(out) :: info ! locals - integer :: int_err(5), ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, iy, ijy, iiy, jjy, i, m, j, k + integer :: ictxt, np, me,& + & err_act, iix, jjx, ix, iy, iiy, jjy, i, m real(kind(1.D0)) :: dot_local real(kind(1.d0)) :: ddot character(len=20) :: name, ch_err @@ -494,8 +494,8 @@ subroutine psb_dmdots(res, x, y, desc_a, info) integer, intent(out) :: info ! locals - integer :: int_err(5), ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, iy, ijy, iiy, jjy, i, m, j, k + integer :: ictxt, np, me,& + & err_act, iix, jjx, ix, iy, iiy, jjy, i, m, j, k real(kind(1.d0)),allocatable :: dot_local(:) real(kind(1.d0)) :: ddot character(len=20) :: name, ch_err @@ -598,8 +598,8 @@ subroutine psb_ddot2v(res, x, y,w,z,desc_a, info) integer, intent(out) :: info ! locals - integer :: int_err(5), ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, iy, ijy, iiy, jjy, i, m, j, k + integer :: ictxt, np, me,& + & err_act, iix, jjx, ix, iy, iiy, jjy, i, m real(kind(1.D0)) :: dot_local(2) real(kind(1.d0)) :: ddot character(len=20) :: name, ch_err diff --git a/base/psblas/psb_dnrm2.f90 b/base/psblas/psb_dnrm2.f90 index ff216134..a7d472cd 100644 --- a/base/psblas/psb_dnrm2.f90 +++ b/base/psblas/psb_dnrm2.f90 @@ -58,7 +58,7 @@ function psb_dnrm2(x, desc_a, info, jx) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ndim, ix, ijx, i, m, id + & err_act, iix, jjx, ndim, ix, ijx, i, m, id real(kind(1.d0)) :: nrm2, dnrm2, dd external dcombnrm2 character(len=20) :: name, ch_err @@ -195,7 +195,7 @@ function psb_dnrm2v(x, desc_a, info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ndim, ix, jx, ijx, i, m, id + & err_act, iix, jjx, ndim, ix, jx, i, m, id real(kind(1.d0)) :: nrm2, dnrm2, dd external dcombnrm2 character(len=20) :: name, ch_err @@ -330,7 +330,7 @@ subroutine psb_dnrm2vs(res, x, desc_a, info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ndim, ix, jx, ijx, i, m, id + & err_act, iix, jjx, ndim, ix, jx, i, m, id real(kind(1.d0)) :: nrm2, dnrm2, dd external dcombnrm2 character(len=20) :: name, ch_err diff --git a/base/psblas/psb_dspmm.f90 b/base/psblas/psb_dspmm.f90 index 1299f550..b33bb371 100644 --- a/base/psblas/psb_dspmm.f90 +++ b/base/psblas/psb_dspmm.f90 @@ -104,7 +104,7 @@ subroutine psb_dspmm(alpha,a,x,beta,y,desc_a,info,& ! locals integer :: ictxt, np, me,& & err_act, n, iix, jjx, ia, ja, iia, jja, ix, iy, ik, ijx, ijy,& - & idoswap, m, nrow, ncol, lldx, lldy, liwork, llwork, iiy, jjy,& + & idoswap, m, nrow, ncol, lldx, lldy, liwork, iiy, jjy,& & i, ib, ib1 integer, parameter :: nb=4 real(kind(1.d0)),pointer :: xp(:,:), yp(:,:), iwork(:) @@ -441,11 +441,11 @@ subroutine psb_dspmv(alpha,a,x,beta,y,desc_a,info,& ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ia, ja, iia, jja, ix, iy, ik, ijx, ijy,& - & idoswap, m, nrow, ncol, lldx, lldy, liwork, llwork, jx, jy, iiy, jjy,& - & i, ib, ib1 + & err_act, n, iix, jjx, ia, ja, iia, jja, ix, iy, ik, & + & idoswap, m, nrow, ncol, lldx, lldy, liwork, jx, jy, iiy, jjy,& + & ib integer, parameter :: nb=4 - real(kind(1.d0)),pointer :: tmpx(:), iwork(:), xp(:), yp(:) + real(kind(1.d0)),pointer :: iwork(:), xp(:), yp(:) character :: itrans character(len=20) :: name, ch_err logical :: aliw diff --git a/base/psblas/psb_dspsm.f90 b/base/psblas/psb_dspsm.f90 index a6af92a6..f91270e6 100644 --- a/base/psblas/psb_dspsm.f90 +++ b/base/psblas/psb_dspsm.f90 @@ -99,7 +99,7 @@ subroutine psb_dspsm(alpha,a,x,beta,y,desc_a,info,& ! locals integer :: int_err(5), ictxt, np, me,& - & err_act, n, iix, jjx, ia, ja, iia, jja, lldx,lldy, lchoice,& + & err_act, iix, jjx, ia, ja, iia, jja, lldx,lldy, lchoice,& & ix, iy, ik, ijx, ijy, i, lld,& & m, nrow, ncol, liwork, llwork, iiy, jjy @@ -404,7 +404,7 @@ subroutine psb_dspsv(alpha,a,x,beta,y,desc_a,info,& ! locals integer :: int_err(5), ictxt, np, me,& - & err_act, n, iix, jjx, ia, ja, iia, jja, lldx,lldy, lchoice,& + & err_act, iix, jjx, ia, ja, iia, jja, lldx,lldy, lchoice,& & ix, iy, ik, jx, jy, i, lld,& & m, nrow, ncol, liwork, llwork, iiy, jjy diff --git a/base/psblas/psb_zamax.f90 b/base/psblas/psb_zamax.f90 index af023eb3..5daef01b 100644 --- a/base/psblas/psb_zamax.f90 +++ b/base/psblas/psb_zamax.f90 @@ -59,7 +59,7 @@ function psb_zamax (x,desc_a, info, jx) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, m, i, k, imax, izamax + & err_act, iix, jjx, ix, ijx, m, imax, izamax real(kind(1.d0)) :: amax character(len=20) :: name, ch_err double complex :: zdum @@ -187,7 +187,7 @@ function psb_zamaxv (x,desc_a, info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, jx, ix, ijx, m, imax, izamax + & err_act, iix, jjx, jx, ix, m, imax, izamax real(kind(1.d0)) :: amax complex(kind(1.d0)) :: cmax character(len=20) :: name, ch_err @@ -315,7 +315,7 @@ subroutine psb_zamaxvs (res,x,desc_a, info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, m, imax, izamax + & err_act, iix, jjx, ix, ijx, m, imax, izamax real(kind(1.d0)) :: amax character(len=20) :: name, ch_err complex(kind(1.d0)) :: cmax @@ -441,7 +441,7 @@ subroutine psb_zmamaxs (res,x,desc_a, info,jx) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, m, imax, i, k, izamax + & err_act, iix, jjx, ix, ijx, m, imax, i, k, izamax real(kind(1.d0)) :: amax character(len=20) :: name, ch_err complex(kind(1.d0)) :: cmax diff --git a/base/psblas/psb_zasum.f90 b/base/psblas/psb_zasum.f90 index 378dc47b..50d229e2 100644 --- a/base/psblas/psb_zasum.f90 +++ b/base/psblas/psb_zasum.f90 @@ -59,8 +59,8 @@ function psb_zasum (x,desc_a, info, jx) real(kind(1.d0)) :: psb_zasum ! locals - integer :: int_err(5), ictxt, np, npcol, me, mycol,& - & err_act, n, iix, jjx, temp(2), ix, ijx, m, i + integer :: ictxt, np, me, & + & err_act, iix, jjx, ix, ijx, m, i real(kind(1.d0)) :: asum, dzasum character(len=20) :: name, ch_err complex(kind(1.d0)) :: cmax @@ -208,7 +208,7 @@ function psb_zasumv (x,desc_a, info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, jx, ix, ijx, m, i + & err_act, iix, jjx, jx, ix, m, i real(kind(1.d0)) :: asum, dzasum character(len=20) :: name, ch_err complex(kind(1.d0)) :: cmax @@ -352,7 +352,7 @@ subroutine psb_zasumvs (res,x,desc_a, info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, jx, ijx, m, i + & err_act, iix, jjx, ix, jx, m, i real(kind(1.d0)) :: asum, dzasum character(len=20) :: name, ch_err complex(kind(1.d0)) :: cmax diff --git a/base/psblas/psb_zaxpby.f90 b/base/psblas/psb_zaxpby.f90 index d3528119..558a018f 100644 --- a/base/psblas/psb_zaxpby.f90 +++ b/base/psblas/psb_zaxpby.f90 @@ -217,7 +217,7 @@ subroutine psb_zaxpbyv(alpha, x, beta,y,desc_a,info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, iy, ijx, m, iiy, in, jjy + & err_act, iix, jjx, ix, iy, m, iiy, jjy character(len=20) :: name, ch_err logical, parameter :: debug=.false. diff --git a/base/psblas/psb_zdot.f90 b/base/psblas/psb_zdot.f90 index 9feb4a0c..44d66e22 100644 --- a/base/psblas/psb_zdot.f90 +++ b/base/psblas/psb_zdot.f90 @@ -62,7 +62,7 @@ function psb_zdot(x, y,desc_a, info, jx, jy) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, iy, ijy, iiy, jjy, i, m, j, k + & err_act, iix, jjx, ix, ijx, iy, ijy, iiy, jjy, i, m complex(kind(1.D0)) :: dot_local complex(kind(1.d0)) :: zdotc character(len=20) :: name, ch_err @@ -215,7 +215,7 @@ function psb_zdotv(x, y,desc_a, info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, jx, iy, jy, iiy, jjy, i, m, j, k + & err_act, iix, jjx, ix, jx, iy, jy, iiy, jjy, i, m complex(kind(1.D0)) :: dot_local complex(kind(1.d0)) :: zdotc character(len=20) :: name, ch_err @@ -353,7 +353,7 @@ subroutine psb_zdotvs(res, x, y,desc_a, info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, iy, ijy, iiy, jjy, i, m, j, k + & err_act, iix, jjx, ix, iy, iiy, jjy, i, m complex(kind(1.D0)) :: dot_local complex(kind(1.d0)) :: zdotc character(len=20) :: name, ch_err @@ -493,7 +493,7 @@ subroutine psb_zmdots(res, x, y, desc_a, info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ix, ijx, iy, ijy, iiy, jjy, i, m, j, k + & err_act, iix, jjx, ix, iy, iiy, jjy, i, m, j, k complex(kind(1.d0)),allocatable :: dot_local(:) complex(kind(1.d0)) :: zdotc character(len=20) :: name, ch_err diff --git a/base/psblas/psb_znrm2.f90 b/base/psblas/psb_znrm2.f90 index 2f2787be..b47241f3 100644 --- a/base/psblas/psb_znrm2.f90 +++ b/base/psblas/psb_znrm2.f90 @@ -58,7 +58,7 @@ function psb_znrm2(x, desc_a, info, jx) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ndim, ix, ijx, i, m, id + & err_act, iix, jjx, ndim, ix, ijx, i, m, id real(kind(1.d0)) :: nrm2, dznrm2, dd external dcombnrm2 @@ -194,7 +194,7 @@ function psb_znrm2v(x, desc_a, info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ndim, ix, jx, ijx, i, m, id + & err_act, iix, jjx, ndim, ix, jx, i, m, id real(kind(1.d0)) :: nrm2, dznrm2, dd external dcombnrm2 @@ -329,7 +329,7 @@ subroutine psb_znrm2vs(res, x, desc_a, info) ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ndim, ix, jx, ijx, i, m, id + & err_act, iix, jjx, ndim, ix, jx, i, m, id real(kind(1.d0)) :: nrm2, dznrm2, dd external dcombnrm2 diff --git a/base/psblas/psb_zspmm.f90 b/base/psblas/psb_zspmm.f90 index 91eb4955..d123753c 100644 --- a/base/psblas/psb_zspmm.f90 +++ b/base/psblas/psb_zspmm.f90 @@ -435,9 +435,9 @@ subroutine psb_zspmv(alpha,a,x,beta,y,desc_a,info,& ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ia, ja, iia, jja, ix, iy, ik, ijx, ijy,& + & err_act, n, iix, jjx, ia, ja, iia, jja, ix, iy, ik, & & idoswap, m, nrow, ncol, lldx, lldy, liwork, jx, jy, iiy, jjy,& - & i, ib, ib1 + & ib integer, parameter :: nb=4 complex(kind(1.d0)),pointer :: iwork(:), xp(:), yp(:) character :: itrans diff --git a/base/psblas/psb_zspsm.f90 b/base/psblas/psb_zspsm.f90 index a30060b4..f2c69937 100644 --- a/base/psblas/psb_zspsm.f90 +++ b/base/psblas/psb_zspsm.f90 @@ -99,7 +99,7 @@ subroutine psb_zspsm(alpha,a,x,beta,y,desc_a,info,& ! locals integer :: ictxt, np, me,& - & err_act, n, iix, jjx, ia, ja, iia, jja, lldx,lldy, lchoice,& + & err_act, iix, jjx, ia, ja, iia, jja, lldx,lldy, lchoice,& & ix, iy, ik, ijx, ijy, i, lld, int_err(5),& & m, nrow, ncol, liwork, llwork, iiy, jjy @@ -407,7 +407,7 @@ subroutine psb_zspsv(alpha,a,x,beta,y,desc_a,info,& ! locals integer :: ictxt, np, me, & - & err_act, n, iix, jjx, ia, ja, iia, jja, lldx,lldy, lchoice,& + & err_act, iix, jjx, ia, ja, iia, jja, lldx,lldy, lchoice,& & ix, iy, ik, jx, jy, i, lld, int_err(5),& & m, nrow, ncol, liwork, llwork, iiy, jjy diff --git a/base/serial/aux/dasr.f90 b/base/serial/aux/dasr.f90 index 36ff9081..788e2efc 100644 --- a/base/serial/aux/dasr.f90 +++ b/base/serial/aux/dasr.f90 @@ -41,7 +41,7 @@ subroutine dasr(n,x,dir) real(kind(1.d0)) :: x(n) ! .. ! .. Local Scalars .. - real(kind(1.d0)) :: xx, piv, xt, xk + real(kind(1.d0)) :: piv, xt, xk integer i, j, ilx, iux, istp, lpiv integer n1, n2 diff --git a/base/serial/aux/dasrx.f90 b/base/serial/aux/dasrx.f90 index ddfb1ef8..f97a660b 100644 --- a/base/serial/aux/dasrx.f90 +++ b/base/serial/aux/dasrx.f90 @@ -41,8 +41,8 @@ subroutine dasrx(n,x,indx,dir,flag) integer :: indx(n) ! .. ! .. Local Scalars .. - real(kind(1.d0)) :: xx, piv, xt, xk - integer i, j, ii, ilx, iux, istp, lpiv + real(kind(1.d0)) :: piv, xt, xk + integer i, j, ilx, iux, istp, lpiv integer ixt, n1, n2 integer, parameter :: maxstack=64,nparms=3,ithrs=16 diff --git a/base/serial/aux/dsr.f90 b/base/serial/aux/dsr.f90 index d8a352e7..14194f99 100644 --- a/base/serial/aux/dsr.f90 +++ b/base/serial/aux/dsr.f90 @@ -41,7 +41,7 @@ subroutine dsr(n,x,dir) real(kind(1.d0)) :: x(n) ! .. ! .. Local Scalars .. - real(kind(1.d0)) :: xx, piv, xt, xk + real(kind(1.d0)) :: piv, xt, xk integer i, j, ilx, iux, istp, lpiv integer n1, n2 diff --git a/base/serial/aux/dsrx.f90 b/base/serial/aux/dsrx.f90 index e56228b5..f5c23fe5 100644 --- a/base/serial/aux/dsrx.f90 +++ b/base/serial/aux/dsrx.f90 @@ -41,8 +41,8 @@ subroutine dsrx(n,x,indx,dir,flag) integer :: indx(n) ! .. ! .. Local Scalars .. - real(kind(1.d0)) :: xx, piv, xk, xt - integer i, j, ii, ilx, iux, istp, lpiv + real(kind(1.d0)) :: piv, xk, xt + integer i, j, ilx, iux, istp, lpiv integer ixt, n1, n2 integer, parameter :: maxstack=64,nparms=3,ithrs=16 diff --git a/base/serial/aux/iasr.f90 b/base/serial/aux/iasr.f90 index b8828975..07c7d940 100644 --- a/base/serial/aux/iasr.f90 +++ b/base/serial/aux/iasr.f90 @@ -41,7 +41,7 @@ subroutine iasr(n,x,dir) integer :: x(n) ! .. ! .. Local Scalars .. - integer :: xx, piv, xt, xk + integer :: piv, xt, xk integer i, j, ilx, iux, istp, lpiv integer n1, n2 diff --git a/base/serial/aux/iasrx.f90 b/base/serial/aux/iasrx.f90 index c4576ef3..99f990b4 100644 --- a/base/serial/aux/iasrx.f90 +++ b/base/serial/aux/iasrx.f90 @@ -41,8 +41,8 @@ subroutine iasrx(n,x,indx,dir,flag) integer :: indx(n) ! .. ! .. Local Scalars .. - integer :: xx, piv, xt, xk - integer i, j, ii, ilx, iux, istp, lpiv + integer :: piv, xt, xk + integer i, j, ilx, iux, istp, lpiv integer ixt, n1, n2 integer, parameter :: maxstack=64,nparms=3,ithrs=16 diff --git a/base/serial/aux/imsru.f90 b/base/serial/aux/imsru.f90 index 5fe9d4f1..914cd236 100644 --- a/base/serial/aux/imsru.f90 +++ b/base/serial/aux/imsru.f90 @@ -38,10 +38,7 @@ subroutine imsru(n,x,idir,nout) integer :: x(n) - integer, allocatable :: iaux(:) - - integer :: iswap, iret, info, lp, k - integer :: lswap + integer :: k nout = 0 if (n<0) then diff --git a/base/serial/aux/isr.f90 b/base/serial/aux/isr.f90 index a6491ee0..20ac8156 100644 --- a/base/serial/aux/isr.f90 +++ b/base/serial/aux/isr.f90 @@ -41,7 +41,7 @@ subroutine isr(n,x,dir) integer :: x(n) ! .. ! .. Local Scalars .. - integer :: xx, xk, piv, xt + integer :: xk, piv, xt integer i, j, ilx, iux, istp, lpiv integer n1, n2 diff --git a/base/serial/aux/isrx.f90 b/base/serial/aux/isrx.f90 index 4642155f..bf65d491 100644 --- a/base/serial/aux/isrx.f90 +++ b/base/serial/aux/isrx.f90 @@ -40,8 +40,8 @@ subroutine isrx(n,x,indx,dir,flag) integer :: x(n), indx(n) ! .. ! .. Local Scalars .. - integer :: xx, piv, xk, xt - integer i, j, ii, ilx, iux, istp, lpiv + integer :: piv, xk, xt + integer i, j, ilx, iux, istp, lpiv integer ixt, n1, n2 integer, parameter :: maxstack=64,nparms=3,ithrs=16 diff --git a/base/serial/aux/zalsr.f90 b/base/serial/aux/zalsr.f90 index a35c01a7..257e90e9 100644 --- a/base/serial/aux/zalsr.f90 +++ b/base/serial/aux/zalsr.f90 @@ -42,7 +42,7 @@ subroutine zalsr(n,x,dir) complex(kind(1.d0)) :: x(n) ! .. ! .. Local Scalars .. - complex(kind(1.d0)) :: xx, xk, piv, xt + complex(kind(1.d0)) :: xk, piv, xt integer i, j, ilx, iux, istp, lpiv integer n1, n2 diff --git a/base/serial/aux/zalsrx.f90 b/base/serial/aux/zalsrx.f90 index f0e1aa8e..9fd57339 100644 --- a/base/serial/aux/zalsrx.f90 +++ b/base/serial/aux/zalsrx.f90 @@ -42,8 +42,8 @@ subroutine zalsrx(n,x,indx,dir,flag) integer :: indx(n) ! .. ! .. Local Scalars .. - complex(kind(1.d0)) :: xx, piv, xk, xt - integer i, j, ii, ilx, iux, istp, lpiv + complex(kind(1.d0)) :: piv, xk, xt + integer i, j, ilx, iux, istp, lpiv integer ixt, n1, n2 integer, parameter :: maxstack=64,nparms=3,ithrs=16 diff --git a/base/serial/aux/zasr.f90 b/base/serial/aux/zasr.f90 index 99e9292f..36c0059c 100644 --- a/base/serial/aux/zasr.f90 +++ b/base/serial/aux/zasr.f90 @@ -42,7 +42,7 @@ subroutine zasr(n,x,dir) complex(kind(1.d0)) :: x(n) ! .. ! .. Local Scalars .. - complex(kind(1.d0)) :: xx, xk, piv, xt + complex(kind(1.d0)) :: xk, piv, xt integer i, j, ilx, iux, istp, lpiv integer n1, n2 diff --git a/base/serial/aux/zasrx.f90 b/base/serial/aux/zasrx.f90 index 9d6fde03..935d2615 100644 --- a/base/serial/aux/zasrx.f90 +++ b/base/serial/aux/zasrx.f90 @@ -42,8 +42,8 @@ subroutine zasrx(n,x,indx,dir,flag) integer :: indx(n) ! .. ! .. Local Scalars .. - complex(kind(1.d0)) :: xx, piv, xk, xt - integer i, j, ii, ilx, iux, istp, lpiv + complex(kind(1.d0)) :: piv, xk, xt + integer i, j, ilx, iux, istp, lpiv integer ixt, n1, n2 integer, parameter :: maxstack=64,nparms=3,ithrs=16 diff --git a/base/serial/aux/zlsr.f90 b/base/serial/aux/zlsr.f90 index 0fe182e3..193b11c0 100644 --- a/base/serial/aux/zlsr.f90 +++ b/base/serial/aux/zlsr.f90 @@ -42,7 +42,7 @@ subroutine zlsr(n,x,dir) complex(kind(1.d0)) :: x(n) ! .. ! .. Local Scalars .. - complex(kind(1.d0)) :: xx, xk, piv, xt + complex(kind(1.d0)) :: xk, piv, xt integer i, j, ilx, iux, istp, lpiv integer n1, n2 diff --git a/base/serial/aux/zlsrx.f90 b/base/serial/aux/zlsrx.f90 index ef6467d0..0f7e6fa3 100644 --- a/base/serial/aux/zlsrx.f90 +++ b/base/serial/aux/zlsrx.f90 @@ -42,8 +42,8 @@ subroutine zlsrx(n,x,indx,dir,flag) integer :: indx(n) ! .. ! .. Local Scalars .. - complex(kind(1.d0)) :: xx, piv, xk, xt - integer i, j, ii, ilx, iux, istp, lpiv + complex(kind(1.d0)) :: piv, xk, xt + integer i, j, ilx, iux, istp, lpiv integer ixt, n1, n2 integer, parameter :: maxstack=64,nparms=3,ithrs=16 diff --git a/base/serial/dp/dcocr.f b/base/serial/dp/dcocr.f index bf2a92a7..e045a590 100644 --- a/base/serial/dp/dcocr.f +++ b/base/serial/dp/dcocr.f @@ -42,8 +42,8 @@ C C C .. Scalar Arguments .. - INTEGER LARN, LAUX, LAUX2, LIAN1, LIAN2, M, - + N, IUPDUP, IERROR + INTEGER LARN, LAUX, LIAN1, LIAN2, M, + + N, IERROR CHARACTER TRANS,UNITD C .. Array Arguments .. DOUBLE PRECISION AR(*), ARN(*), D(*) diff --git a/base/serial/f77/daxpby.f b/base/serial/f77/daxpby.f index d3422a04..b522ac1d 100644 --- a/base/serial/f77/daxpby.f +++ b/base/serial/f77/daxpby.f @@ -36,7 +36,6 @@ C double precision alpha, beta integer i, j integer int_err(5) - double precision real_err(5) character name*20 name='daxpby' diff --git a/base/serial/f77/dcsmm.f b/base/serial/f77/dcsmm.f index 74060727..ce94e098 100644 --- a/base/serial/f77/dcsmm.f +++ b/base/serial/f77/dcsmm.f @@ -205,9 +205,8 @@ C .. Local Scalars .. INTEGER LWORKM, LWORKB, LWORKC, LWORKS, P, ERR_ACT LOGICAL LP, RP C .. Local Array.. - INTEGER INT_VAL(5),iunit + INTEGER INT_VAL(5) CHARACTER*20 NAME - DOUBLE PRECISION REAL_VAL(5) CHARACTER*30 STRINGS(2) C .. External Subroutines .. EXTERNAL DSWMM, DLPUPD, DSCAL diff --git a/base/serial/f77/dcsnmi.f b/base/serial/f77/dcsnmi.f index 079d2e87..59bd0000 100644 --- a/base/serial/f77/dcsnmi.f +++ b/base/serial/f77/dcsnmi.f @@ -107,7 +107,6 @@ C .. Local Scalars.. INTEGER ERR_ACT C .. Local Array.. INTEGER INT_VAL(5) - DOUBLE PRECISION REAL_VAL(5) C .. External Subroutines .. DOUBLE PRECISION DCRNRMI, DJDNRMI, DCOONRMI EXTERNAL DCRNRMI, DJDNRMI, DCOONRMI diff --git a/base/serial/f77/dcsrws.f b/base/serial/f77/dcsrws.f index 381425a6..d76ecd28 100644 --- a/base/serial/f77/dcsrws.f +++ b/base/serial/f77/dcsrws.f @@ -111,7 +111,6 @@ C .. Array Arguments .. DOUBLE PRECISION A(*), ROWSUM(*) C .. Local Array.. INTEGER INT_VAL(5), ERR_ACT - DOUBLE PRECISION REAL_VAL(5) CHARACTER*30 NAME,STRINGS(2) C .. Parameters .. DOUBLE PRECISION ZERO diff --git a/base/serial/f77/dcssm.f b/base/serial/f77/dcssm.f index c25b8029..7eb4719f 100644 --- a/base/serial/f77/dcssm.f +++ b/base/serial/f77/dcssm.f @@ -210,7 +210,6 @@ C .. Local Scalars .. LOGICAL LP, RP C .. Local Array.. INTEGER INT_VAL(5) - DOUBLE PRECISION REAL_VAL(5) CHARACTER*30 STRINGS(2) CHARACTER NAME*30 C .. Parameters .. diff --git a/base/serial/f77/smmp.f b/base/serial/f77/smmp.f index 3d258560..d09d7dd9 100644 --- a/base/serial/f77/smmp.f +++ b/base/serial/f77/smmp.f @@ -25,7 +25,7 @@ c * index(*) integer, allocatable :: ic(:),jc(:) integer :: nze, info - integer, save :: iunit=11 +c$$$ integer, save :: iunit=11 c c symbolic matrix multiply c=a*b c diff --git a/base/serial/f77/zaxpby.f b/base/serial/f77/zaxpby.f index a25f678e..79c87f65 100644 --- a/base/serial/f77/zaxpby.f +++ b/base/serial/f77/zaxpby.f @@ -36,7 +36,6 @@ C complex(kind(1.d0)) alpha, beta integer i, j integer int_err(5) - double precision real_err(5) character name*20 name='zaxpby' diff --git a/base/serial/f77/zcsmm.f b/base/serial/f77/zcsmm.f index efe81e53..b819ffc7 100644 --- a/base/serial/f77/zcsmm.f +++ b/base/serial/f77/zcsmm.f @@ -176,7 +176,6 @@ C .. Local Scalars .. LOGICAL LP, RP C .. Local Array.. INTEGER INT_VAL(5) - COMPLEX*16 Z_VAL(5) CHARACTER*30 NAME, STRINGS(2) C .. Parameters .. COMPLEX*16 ZERO diff --git a/base/serial/f77/zcsnmi.f b/base/serial/f77/zcsnmi.f index b2c55771..7ef44be3 100644 --- a/base/serial/f77/zcsnmi.f +++ b/base/serial/f77/zcsnmi.f @@ -75,7 +75,6 @@ C .. Array Arguments .. COMPLEX*16 A(*) C .. Local Array.. INTEGER INT_VAL(5), ERR_ACT - DOUBLE PRECISION REAL_VAL(5) CHARACTER*30 NAME, STRINGS(2) C .. External Subroutines .. DOUBLE PRECISION ZCRNRMI, ZCOONRMI diff --git a/base/serial/f77/zcsrws.f b/base/serial/f77/zcsrws.f index f3c58550..d84563bb 100644 --- a/base/serial/f77/zcsrws.f +++ b/base/serial/f77/zcsrws.f @@ -81,7 +81,6 @@ C .. Array Arguments .. COMPLEX*16 A(*), ROWSUM(*) C .. Local Array.. INTEGER INT_VAL(5), ERR_ACT - DOUBLE PRECISION REAL_VAL(5) CHARACTER*30 NAME,STRINGS(2) C .. Parameters .. DOUBLE PRECISION ZERO diff --git a/base/serial/f77/zcssm.f b/base/serial/f77/zcssm.f index a7d33ce5..919d7af3 100644 --- a/base/serial/f77/zcssm.f +++ b/base/serial/f77/zcssm.f @@ -181,7 +181,6 @@ C .. Local Scalars .. LOGICAL LP, RP C .. Local Array.. INTEGER INT_VAL(5), ERR_ACT - COMPLEX*16 Z_VAL(5) CHARACTER*30 NAME, STRINGS(2) C .. Parameters .. PARAMETER (ZERO = (0.D0, 0.D0)) diff --git a/base/serial/f77/zswmm.f b/base/serial/f77/zswmm.f index 9ddbbb23..f406d916 100644 --- a/base/serial/f77/zswmm.f +++ b/base/serial/f77/zswmm.f @@ -126,7 +126,6 @@ C .. Array Arguments .. COMPLEX*16 A(*),B(LDB,*),C(LDC,*),WORK(*) C .. Local Array .. INTEGER INT_VAL(5), ERR_ACT - COMPLEX*16 Z_VAL(5) CHARACTER*30 NAME, STRINGS(2) C .. External Subroutines .. EXTERNAL ZCSRMM diff --git a/base/serial/psb_dcoins.f90 b/base/serial/psb_dcoins.f90 index 05540139..dc323818 100644 --- a/base/serial/psb_dcoins.f90 +++ b/base/serial/psb_dcoins.f90 @@ -51,7 +51,7 @@ subroutine psb_dcoins(nz,ia,ja,val,a,imin,imax,jmin,jmax,info,gtl,rebuild) logical, intent(in), optional :: rebuild character(len=5) :: ufida - integer :: i,j,ir,ic,nr,nc, ng, nza, isza,spstate, nnz,& + integer :: ng, nza, isza,spstate, & & ip1, nzl, err_act, int_err(5), iupd, irst logical, parameter :: debug=.false. logical :: rebuild_ diff --git a/base/serial/psb_dcsrp.f90 b/base/serial/psb_dcsrp.f90 index ea3f53c7..cd5dc333 100644 --- a/base/serial/psb_dcsrp.f90 +++ b/base/serial/psb_dcsrp.f90 @@ -76,10 +76,9 @@ subroutine psb_dcsrp(trans,iperm,a, info) character, intent(in) :: trans !....locals.... integer,allocatable :: ipt(:) - integer :: i,np,me, n_col,l_dcsdp, ipsize - integer :: dectype + integer :: i, n_col,l_dcsdp, ipsize real(kind(1.d0)), allocatable :: work_dcsdp(:) - integer :: ictxt,n_row,err_act, int_err(5) + integer :: n_row,err_act, int_err(5) character(len=20) :: name, char_err real(kind(1.d0)) :: time(10) diff --git a/base/serial/psb_dcssv.f90 b/base/serial/psb_dcssv.f90 index bbace6d8..e4a10494 100644 --- a/base/serial/psb_dcssv.f90 +++ b/base/serial/psb_dcssv.f90 @@ -47,7 +47,7 @@ subroutine psb_dcssv(alpha,t,b,beta,c,info,trans,unitd,d) real(kind(1.d0)), pointer :: ddl(:) character :: lt, lu integer :: iwsz,m,n,lb,lc,err_act - character(len=20) :: name, ch_err + character(len=20) :: name name='psb_dcssv' info = 0 diff --git a/base/serial/psb_dgelp.f90 b/base/serial/psb_dgelp.f90 index 210e5aab..49773d8b 100644 --- a/base/serial/psb_dgelp.f90 +++ b/base/serial/psb_dgelp.f90 @@ -51,9 +51,9 @@ subroutine psb_dgelp(trans,iperm,x,info) character, intent(in) :: trans ! local variables - integer :: ictxt,np, me,nrow,ncol + integer :: ictxt real(kind(1.d0)),allocatable :: dtemp(:) - integer :: int_err(5), i1sz, i2sz, dectype, i, err_act + integer :: int_err(5), i1sz, i2sz, err_act integer, allocatable :: itemp(:) real(kind(1.d0)),parameter :: one=1 logical, parameter :: debug=.false. @@ -181,8 +181,8 @@ subroutine psb_dgelpv(trans,iperm,x,info) character, intent(in) :: trans ! local variables - integer :: ictxt,np,me - integer :: int_err(5), i1sz,nrow,ncol,dectype, err_act + integer :: ictxt + integer :: int_err(5), i1sz, err_act real(kind(1.d0)),allocatable :: dtemp(:) integer, allocatable :: itemp(:) real(kind(1.d0)),parameter :: one=1 diff --git a/base/serial/psb_dipcoo2csc.f90 b/base/serial/psb_dipcoo2csc.f90 index 7a6ac1e2..fcbbf8e5 100644 --- a/base/serial/psb_dipcoo2csc.f90 +++ b/base/serial/psb_dipcoo2csc.f90 @@ -49,7 +49,7 @@ subroutine psb_dipcoo2csc(a,info,clshr) integer, allocatable :: iaux(:), itemp(:) !locals logical :: clshr_ - Integer :: nza, nr, i,j, idl,err_act,nc,icl + Integer :: nza, i,j, idl,err_act,nc,icl Integer, Parameter :: maxtry=8 logical, parameter :: debug=.false. character(len=20) :: name diff --git a/base/serial/psb_dipcsr2coo.f90 b/base/serial/psb_dipcsr2coo.f90 index f99784a4..e952ef36 100644 --- a/base/serial/psb_dipcsr2coo.f90 +++ b/base/serial/psb_dipcsr2coo.f90 @@ -50,7 +50,7 @@ Subroutine psb_dipcsr2coo(a,info) integer :: i,j,err_act logical, parameter :: debug=.false. integer, allocatable :: iaux(:), itemp(:) - character(len=20) :: name, ch_err + character(len=20) :: name name='psb_dipcsr2coo' info = 0 diff --git a/base/serial/psb_dneigh.f90 b/base/serial/psb_dneigh.f90 index 6be08627..8f125e2e 100644 --- a/base/serial/psb_dneigh.f90 +++ b/base/serial/psb_dneigh.f90 @@ -47,10 +47,9 @@ subroutine psb_dneigh(a,idx,neigh,n,info,lev) integer, allocatable :: neigh(:) ! the neighbours integer, optional :: lev ! level of neighbours to find - integer, allocatable :: tmpn(:) - integer :: lev_, dim, i, j, k, r, c, brow,nl, ifl,ill,& - & elem_pt, ii, n1, col_idx, ne, err_act, nn, nidx,ntl - character(len=20) :: name, ch_err + integer :: lev_, i, nl, ifl,ill,& + & n1, err_act, nn, nidx,ntl + character(len=20) :: name integer, allocatable :: ia(:), ja(:) real(kind(1.d0)), allocatable :: val(:) @@ -67,8 +66,11 @@ subroutine psb_dneigh(a,idx,neigh,n,info,lev) end if call psb_sp_getrow(idx,a,n,ia,ja,val,info) - - call psb_realloc(n,neigh,info) + if (info == 0) call psb_realloc(n,neigh,info) + if (info /= 0) then + call psb_errpush(4000,name) + goto 9999 + end if neigh(1:n) = ja(1:n) ifl = 1 ill = n @@ -77,12 +79,20 @@ subroutine psb_dneigh(a,idx,neigh,n,info,lev) do nl = 2, lev_ n1 = ill - ifl + 1 call psb_ensure_size(ill+n1*n1,neigh,info) + if (info /= 0) then + call psb_errpush(4000,name) + goto 9999 + end if ntl = 0 do i=ifl,ill nidx=neigh(i) if ((nidx.ne.idx).and.(nidx.gt.0).and.(nidx.le.a%m)) then call psb_sp_getrow(nidx,a,nn,ia,ja,val,info) - call psb_ensure_size(ill+ntl+nn,neigh,info) + if (info==0) call psb_ensure_size(ill+ntl+nn,neigh,info) + if (info /= 0) then + call psb_errpush(4000,name) + goto 9999 + end if neigh(ill+ntl+1:ill+ntl+nn)=ja(1:nn) ntl = ntl+nn end if diff --git a/base/serial/psb_dnumbmm.f90 b/base/serial/psb_dnumbmm.f90 index 79d032eb..b40ce9df 100644 --- a/base/serial/psb_dnumbmm.f90 +++ b/base/serial/psb_dnumbmm.f90 @@ -83,9 +83,8 @@ contains real(kind(1.d0)) :: temp(:) integer, allocatable :: iarw(:), iacl(:),ibrw(:),ibcl(:) real(kind(1.d0)), allocatable :: aval(:),bval(:) - integer :: maxlmn,i,j,m,n,k,l,istart,length,nazr,nbzr,jj,ii,minlm,minmn,minln + integer :: maxlmn,i,j,m,n,k,l,nazr,nbzr,jj,minlm,minmn,minln real(kind(1.d0)) :: ajj - type(psb_dspmat_type) :: w n = a%m m = a%k diff --git a/base/serial/psb_dspclip.f90 b/base/serial/psb_dspclip.f90 index 13d4bcc3..286d6797 100644 --- a/base/serial/psb_dspclip.f90 +++ b/base/serial/psb_dspclip.f90 @@ -47,8 +47,8 @@ subroutine psb_dspclip(a,b,info,imin,imax,jmin,jmax,rscale,cscale) integer, intent(in), optional :: imin,imax,jmin,jmax logical, intent(in), optional :: rscale,cscale - integer :: lrw_, ierr(5), err_act - character(len=20) :: name, ch_err + integer :: err_act + character(len=20) :: name integer :: imin_,imax_,jmin_,jmax_ logical :: rscale_,cscale_ integer :: sizeb, nzb, mb, kb, ifst, ilst, nrt, nzt, i, j diff --git a/base/serial/psb_dspcnv.f90 b/base/serial/psb_dspcnv.f90 index 4acefc92..86a92e51 100644 --- a/base/serial/psb_dspcnv.f90 +++ b/base/serial/psb_dspcnv.f90 @@ -63,8 +63,7 @@ subroutine psb_dspcnv2(a, b,info,afmt,upd,dupl) type(psb_dspmat_type) :: temp_a Integer :: nzr, ntry, ifc_, ia1_size,& & ia2_size, aspk_size,size_req,n_row,n_col,upd_,dupl_ - integer :: ip1, ip2, nnz, iflag, ichk, nnzt,& - & ipc, i, count, err_act, i1, i2, ia + integer :: err_act character :: check_,trans_,unitd_ character(len=5) :: afmt_ Integer, Parameter :: maxtry=8 @@ -389,11 +388,10 @@ subroutine psb_dspcnv1(a, info, afmt, upd, dupl) integer :: int_err(5) type(psb_dspmat_type) :: atemp - integer :: np,me,n_col,iout, err_act + integer :: err_act integer :: spstate integer :: upd_, dupl_ - integer :: ictxt,n_row - logical, parameter :: debug=.false., debugwrt=.false. + logical, parameter :: debug=.false. character(len=20) :: name, ch_err info = 0 @@ -471,18 +469,11 @@ subroutine psb_dspcnv1(a, info, afmt, upd, dupl) ! convert to user requested format after the temp copy end if - if (debugwrt) then - iout = 30+me - open(iout) - call psb_csprt(iout,atemp,head='Input mat') - close(iout) - endif - ! Do the real conversion into the requested storage format ! result is put in A call psb_spcnv(atemp,a,info,afmt=afmt,upd=upd,dupl=dupl) - IF (debug) WRITE (*, *) me,' ASB: From SPCNV',info,' ',A%FIDA + IF (debug) WRITE (*, *) ' ASB: From SPCNV',info,' ',A%FIDA if (info /= psb_no_err_) then info=4010 ch_err='psb_csdp' @@ -490,13 +481,6 @@ subroutine psb_dspcnv1(a, info, afmt, upd, dupl) goto 9999 endif - if (debugwrt) then - iout = 60+me - open(iout) - call psb_csprt(iout,a,head='Output mat') - close(iout) - endif - call psb_sp_free(atemp,info) else if (spstate == psb_spmat_upd_) then diff --git a/base/serial/psb_dspgetrow.f90 b/base/serial/psb_dspgetrow.f90 index 69c965ef..fee8db2f 100644 --- a/base/serial/psb_dspgetrow.f90 +++ b/base/serial/psb_dspgetrow.f90 @@ -56,7 +56,7 @@ subroutine psb_dspgetrow(irw,a,nz,ia,ja,val,info,iren,lrw,append,nzin) integer, intent(in), optional :: lrw, nzin logical :: append_ - integer :: i,j,k,ip,jp,nr,idx,iret,nzin_, nza, lrw_, irw_, err_act + integer :: nzin_, lrw_, irw_, err_act character(len=20) :: name, ch_err name='psb_spgetrow' diff --git a/base/serial/psb_dspgtblk.f90 b/base/serial/psb_dspgtblk.f90 index 33b8e833..afc74e5d 100644 --- a/base/serial/psb_dspgtblk.f90 +++ b/base/serial/psb_dspgtblk.f90 @@ -57,12 +57,11 @@ subroutine psb_dspgtblk(irw,a,b,info,append,iren,lrw,srt) logical, intent(in), optional :: srt logical :: append_,srt_ - integer :: i,j,k,ip,jp,nr,idx, nz,iret,nzb, nza, lrw_, irw_, err_act - character(len=20) :: name, ch_err + integer :: nz,nzb, lrw_, irw_ + character(len=20) :: name name='psb_spgtblk' info = 0 -!!$ call psb_erractionsave(err_act) irw_ = irw if (present(lrw)) then @@ -111,18 +110,7 @@ subroutine psb_dspgtblk(irw,a,b,info,append,iren,lrw,srt) b%m = b%m+lrw_-irw+1 b%k = max(b%k,a%k) if (srt_) call psb_fixcoo(b,info) -!!$ call psb_erractionrestore(err_act) return -9999 continue -!!$ call psb_erractionrestore(err_act) - call psb_erractionsave(err_act) - if (err_act.eq.psb_act_abort_) then - call psb_error() - return - end if - return - - end subroutine psb_dspgtblk diff --git a/base/serial/psb_dspgtdiag.f90 b/base/serial/psb_dspgtdiag.f90 index 155b1d14..6235efea 100644 --- a/base/serial/psb_dspgtdiag.f90 +++ b/base/serial/psb_dspgtdiag.f90 @@ -50,8 +50,8 @@ subroutine psb_dspgtdiag(a,d,info) integer, intent(out) :: info type(psb_dspmat_type) :: tmpa - integer :: i,j,k,nr, nz, err_act, ii, rng, irb, nrb - character(len=20) :: name, ch_err + integer :: i,j, err_act, ii, rng, irb, nrb + character(len=20) :: name, ch_err name='psb_dspgtdiag' info = 0 diff --git a/base/serial/psb_dspscal.f90 b/base/serial/psb_dspscal.f90 index 41bcb342..9cfd16ad 100644 --- a/base/serial/psb_dspscal.f90 +++ b/base/serial/psb_dspscal.f90 @@ -48,7 +48,7 @@ subroutine psb_dspscal(a,d,info) integer, intent(out) :: info real(kind(1.d0)), intent(in) :: d(:) - integer :: i,j,k,nr, nz,err_act + integer :: i,j,err_act character(len=20) :: name, ch_err name='psb_dspscal' diff --git a/base/serial/psb_dsymbmm.f90 b/base/serial/psb_dsymbmm.f90 index 5e760190..88f3e266 100644 --- a/base/serial/psb_dsymbmm.f90 +++ b/base/serial/psb_dsymbmm.f90 @@ -56,7 +56,7 @@ subroutine psb_dsymbmm(a,b,c,info) integer, allocatable :: ic(:),jc(:) end subroutine symbmm end interface - character(len=20) :: name, ch_err + character(len=20) :: name integer :: err_act logical :: csra, csrb name='psb_symbmm' @@ -113,8 +113,7 @@ contains integer :: index(:),info integer, allocatable :: iarw(:), iacl(:),ibrw(:),ibcl(:) real(kind(1.d0)), allocatable :: aval(:),bval(:) - integer :: maxlmn,i,j,m,n,k,l,istart,length,nazr,nbzr,jj,ii,minlm,minmn - type(psb_dspmat_type) :: w + integer :: maxlmn,i,j,m,n,k,l,istart,length,nazr,nbzr,jj,minlm,minmn n = a%m diff --git a/base/serial/psb_dtransp.f90 b/base/serial/psb_dtransp.f90 index ac6406b9..31d9668e 100644 --- a/base/serial/psb_dtransp.f90 +++ b/base/serial/psb_dtransp.f90 @@ -45,9 +45,8 @@ subroutine psb_dtransp(a,b,c,fmt) character(len=*), optional :: fmt character(len=5) :: fmt_ - integer ::c_, info, nz + integer ::c_, info integer, allocatable :: itmp(:) - type(psb_dspmat_type) :: tmp if (present(c)) then c_=c diff --git a/base/serial/psb_getrow_mod.f90 b/base/serial/psb_getrow_mod.f90 index 78910fb8..0a532eb9 100644 --- a/base/serial/psb_getrow_mod.f90 +++ b/base/serial/psb_getrow_mod.f90 @@ -140,7 +140,7 @@ contains logical, intent(in) :: append integer :: lrw,info integer, optional :: iren(:) - integer :: nzin_, nza, idx,ip,jp,i,j,k, nzt + integer :: nzin_, nza, idx,ip,jp,i,k, nzt logical, parameter :: debug=.false. nza = a%infoa(psb_nnz_) @@ -545,7 +545,7 @@ contains logical, intent(in) :: append integer :: lrw,info integer, optional :: iren(:) - integer :: nzin_, nza, idx,ip,jp,i,j,k, nzt + integer :: nzin_, nza, idx,ip,jp,i,k, nzt logical, parameter :: debug=.false. nza = a%infoa(psb_nnz_) diff --git a/base/serial/psb_regen_mod.f90 b/base/serial/psb_regen_mod.f90 index a843f688..1cdfc16a 100644 --- a/base/serial/psb_regen_mod.f90 +++ b/base/serial/psb_regen_mod.f90 @@ -22,10 +22,10 @@ contains type(psb_dspmat_type), intent(inout) :: a integer :: info - integer :: i,j, k, ip1,ip2,nnz,iflag,ichk,nnzt + integer :: i, ip1,ip2,nnz,iflag,ichk,nnzt real(kind(1.d0)), allocatable :: work(:) integer :: err_act - character(len=20) :: name, ch_err + character(len=20) :: name logical, parameter :: debug=.false. name='psb_spcnv' @@ -121,10 +121,10 @@ contains type(psb_dspmat_type), intent(inout) :: a integer :: info - integer :: i,j, k, ip1,ip2,nnz,iflag,ichk,nnzt + integer :: i, ip1,ip2,nnz,iflag,ichk,nnzt real(kind(1.d0)), allocatable :: work(:) integer :: err_act - character(len=20) :: name, ch_err + character(len=20) :: name logical, parameter :: debug=.false. name='psb_spcnv' @@ -220,10 +220,10 @@ contains type(psb_dspmat_type), intent(inout) :: a integer :: info - integer :: i,j, k, ip1,ip2,nnz,iflag,ichk,nnzt + integer :: i, ip1,ip2,nnz,iflag,ichk,nnzt real(kind(1.d0)), allocatable :: work(:) integer :: err_act - character(len=20) :: name, ch_err + character(len=20) :: name logical, parameter :: debug=.false. name='psb_spcnv' @@ -321,10 +321,10 @@ contains type(psb_zspmat_type), intent(inout) :: a integer :: info - integer :: i,j, k, ip1,ip2,nnz,iflag,ichk,nnzt + integer :: i, ip1,ip2,nnz,iflag,ichk,nnzt complex(kind(1.d0)), allocatable :: work(:) integer :: err_act - character(len=20) :: name, ch_err + character(len=20) :: name logical, parameter :: debug=.false. name='psb_spcnv' @@ -420,10 +420,10 @@ contains type(psb_zspmat_type), intent(inout) :: a integer :: info - integer :: i,j, k, ip1,ip2,nnz,iflag,ichk,nnzt + integer :: i, ip1,ip2,nnz,iflag,ichk,nnzt complex(kind(1.d0)), allocatable :: work(:) integer :: err_act - character(len=20) :: name, ch_err + character(len=20) :: name logical, parameter :: debug=.false. name='psb_spcnv' @@ -519,10 +519,10 @@ contains type(psb_zspmat_type), intent(inout) :: a integer :: info - integer :: i,j, k, ip1,ip2,nnz,iflag,ichk,nnzt + integer :: i, ip1,ip2,nnz,iflag,ichk,nnzt complex(kind(1.d0)), allocatable :: work(:) integer :: err_act - character(len=20) :: name, ch_err + character(len=20) :: name logical, parameter :: debug=.false. name='psb_spcnv' diff --git a/base/serial/psb_update_mod.f90 b/base/serial/psb_update_mod.f90 index b8067aa0..1ccf37ec 100644 --- a/base/serial/psb_update_mod.f90 +++ b/base/serial/psb_update_mod.f90 @@ -176,7 +176,7 @@ contains logical, parameter :: debug=.false. integer :: i,ir,ic, ilr, ilc, ip, & - & i1,i2,nc,lb,ub,m,nnz,dupl + & i1,i2,nc,lb,ub,m,dupl info = 0 @@ -403,7 +403,7 @@ contains integer, intent(out) :: info integer, intent(in), optional :: ng,gtl(*) integer :: i,ir,ic, ilr, ilc, ip, & - & i1,i2,nc,lb,ub,m,nnz,dupl,isrt + & i1,i2,nc,nnz,dupl logical, parameter :: debug=.false. info = 0 @@ -451,6 +451,9 @@ contains i1 = i1 - 1 end do ilr = ir + else + i1 = 1 + i2 = 1 end if nc = i2-i1+1 call issrch(ip,ic,nc,a%ia2(i1:i2)) @@ -489,6 +492,9 @@ contains i1 = i1 - 1 end do ilr = ir + else + i1 = 1 + i2 = 1 end if nc = i2-i1+1 call issrch(ip,ic,nc,a%ia2(i1:i2)) @@ -535,6 +541,9 @@ contains i1 = i1 - 1 end do ilr = ir + else + i1 = 1 + i2 = 1 end if nc = i2-i1+1 call issrch(ip,ic,nc,a%ia2(i1:i2)) @@ -568,6 +577,9 @@ contains i1 = i1 - 1 end do ilr = ir + else + i1 = 1 + i2 = 1 end if nc = i2-i1+1 call issrch(ip,ic,nc,a%ia2(i1:i2)) @@ -610,8 +622,8 @@ contains integer, pointer :: ia1(:), ia2(:), ia3(:),& & ja_(:), ka_(:) integer, allocatable :: indices(:), blks(:), rows(:) - integer :: png, pia, pja, ipx, blk, rb, row, k_pt, npg, col, ngr, nzin_,& - & i,j,k,nr,dupl, ii, ir, ic + integer :: png, pia, pja, ipx, blk, rb, row, k_pt, npg, col, ngr,& + & i,j,nr,dupl, ii, ir, ic info = 0 dupl = psb_sp_getifld(psb_dupl_,a,info) @@ -846,7 +858,7 @@ contains integer, intent(in), optional :: ng,gtl(*) integer :: i,ir,ic, ilr, ilc, ip, & - & i1,i2,nc,lb,ub,m,nnz,dupl + & i1,i2,nc,lb,ub,m,dupl logical, parameter :: debug=.false. info = 0 @@ -1066,7 +1078,7 @@ contains integer, intent(out) :: info integer, intent(in), optional :: ng,gtl(*) integer :: i,ir,ic, ilr, ilc, ip, & - & i1,i2,nc,lb,ub,m,nnz,dupl,isrt + & i1,i2,nc,nnz,dupl logical, parameter :: debug=.false. info = 0 @@ -1114,6 +1126,9 @@ contains i1 = i1 - 1 end do ilr = ir + else + i1 = 1 + i2 = 1 end if nc = i2-i1+1 call issrch(ip,ic,nc,a%ia2(i1:i2)) @@ -1151,6 +1166,9 @@ contains i1 = i1 - 1 end do ilr = ir + else + i1 = 1 + i2 = 1 end if nc = i2-i1+1 call issrch(ip,ic,nc,a%ia2(i1:i2)) @@ -1195,6 +1213,9 @@ contains i1 = i1 - 1 end do ilr = ir + else + i1 = 1 + i2 = 1 end if nc = i2-i1+1 call issrch(ip,ic,nc,a%ia2(i1:i2)) @@ -1229,6 +1250,9 @@ contains i1 = i1 - 1 end do ilr = ir + else + i1 = 1 + i2 = 1 end if nc = i2-i1+1 call issrch(ip,ic,nc,a%ia2(i1:i2)) @@ -1275,8 +1299,8 @@ contains integer, pointer :: ia1(:), ia2(:), ia3(:),& & ja_(:), ka_(:) integer, allocatable :: indices(:), blks(:), rows(:) - integer :: png, pia, pja, ipx, blk, rb, row, k_pt, npg, col, ngr, nzin_,& - & i,j,k,nr,dupl, ii, ir, ic + integer :: png, pia, pja, ipx, blk, rb, row, k_pt, npg, col, ngr,& + & i,j,nr,dupl, ii, ir, ic info = 0 dupl = psb_sp_getifld(psb_dupl_,a,info) diff --git a/base/serial/psb_zcoins.f90 b/base/serial/psb_zcoins.f90 index c67fe25f..a55c1313 100644 --- a/base/serial/psb_zcoins.f90 +++ b/base/serial/psb_zcoins.f90 @@ -51,7 +51,7 @@ subroutine psb_zcoins(nz,ia,ja,val,a,imin,imax,jmin,jmax,info,gtl,rebuild) logical, intent(in), optional :: rebuild character(len=5) :: ufida - integer :: i,j,ir,ic,nr,nc, ng, nza, isza,spstate, nnz,& + integer :: ng, nza, isza,spstate, & & ip1, nzl, err_act, int_err(5), iupd, irst logical, parameter :: debug=.false. logical :: rebuild_ diff --git a/base/serial/psb_zcsmv.f90 b/base/serial/psb_zcsmv.f90 index 081e3334..ea7708c5 100644 --- a/base/serial/psb_zcsmv.f90 +++ b/base/serial/psb_zcsmv.f90 @@ -44,7 +44,7 @@ subroutine psb_zcsmv(alpha,a,b,beta,c,info,trans) complex(kind(1.d0)), allocatable :: work(:) character :: trans_ integer :: iwsz,m,n,k,lb,lc, err_act - character(len=20) :: name, ch_err + character(len=20) :: name name='psb_zcsmv' info = 0 diff --git a/base/serial/psb_zcsrp.f90 b/base/serial/psb_zcsrp.f90 index dfe6dd5c..2dffde7e 100644 --- a/base/serial/psb_zcsrp.f90 +++ b/base/serial/psb_zcsrp.f90 @@ -75,12 +75,10 @@ subroutine psb_zcsrp(trans,iperm,a, info) character, intent(in) :: trans !....locals.... integer, allocatable :: ipt(:) - integer :: i,np,me, n_col,l_dcsdp, ipsize - integer :: dectype - complex(kind(1.d0)), allocatable :: work_dcsdp(:) - integer :: ictxt,n_row,err_act, int_err(5) + integer :: i, n_col,l_dcsdp, ipsize + complex(kind(1.d0)), allocatable :: work_dcsdp(:) + integer :: n_row,err_act, int_err(5) character(len=20) :: name, char_err - real(kind(1.d0)) :: time(10) logical, parameter :: debug=.false. diff --git a/base/serial/psb_zcsrws.f90 b/base/serial/psb_zcsrws.f90 index 30c598b4..6e8ecd39 100644 --- a/base/serial/psb_zcsrws.f90 +++ b/base/serial/psb_zcsrws.f90 @@ -55,7 +55,7 @@ subroutine psb_zcsrws(rw,a,info,trans) end interface character :: trans_ - integer :: m,n,k,err_act + integer :: m,k,err_act character(len=20) :: name name='psb_zcsrws' diff --git a/base/serial/psb_zgelp.f90 b/base/serial/psb_zgelp.f90 index 2b53bbda..9e6da91f 100644 --- a/base/serial/psb_zgelp.f90 +++ b/base/serial/psb_zgelp.f90 @@ -51,10 +51,10 @@ subroutine psb_zgelp(trans,iperm,x,info) character, intent(in) :: trans ! local variables - integer :: ictxt,np,me,nrow,ncol + integer :: ictxt complex(kind(1.d0)),allocatable :: dtemp(:) integer, allocatable :: itemp(:) - integer :: int_err(5), i1sz, i2sz, i, err_act + integer :: int_err(5), i1sz, i2sz, err_act real(kind(1.d0)),parameter :: one=1 logical, parameter :: debug=.false. @@ -184,8 +184,8 @@ subroutine psb_zgelpv(trans,iperm,x,info) character, intent(in) :: trans ! local variables - integer :: ictxt,np,me - integer :: int_err(5), i1sz,nrow,ncol, i, err_act + integer :: ictxt + integer :: int_err(5), i1sz, err_act complex(kind(1.d0)),allocatable :: dtemp(:) integer, allocatable :: itemp(:) real(kind(1.d0)),parameter :: one=1 diff --git a/base/serial/psb_zipcoo2csc.f90 b/base/serial/psb_zipcoo2csc.f90 index 725d88d9..e137348d 100644 --- a/base/serial/psb_zipcoo2csc.f90 +++ b/base/serial/psb_zipcoo2csc.f90 @@ -49,7 +49,7 @@ subroutine psb_zipcoo2csc(a,info,clshr) integer, allocatable :: iaux(:), itemp(:) !locals logical :: clshr_ - Integer :: nza, nr, i,j, idl,err_act,nc,icl + Integer :: nza, i,j, idl,err_act,nc,icl Integer, Parameter :: maxtry=8 logical, parameter :: debug=.false. character(len=20) :: name diff --git a/base/serial/psb_zipcsr2coo.f90 b/base/serial/psb_zipcsr2coo.f90 index ee622e2f..5720b66b 100644 --- a/base/serial/psb_zipcsr2coo.f90 +++ b/base/serial/psb_zipcsr2coo.f90 @@ -50,7 +50,7 @@ Subroutine psb_zipcsr2coo(a,info) integer :: i,j,err_act logical, parameter :: debug=.false. integer, allocatable :: iaux(:), itemp(:) - character(len=20) :: name, ch_err + character(len=20) :: name name='psb_zipcsr2coo' info = 0 diff --git a/base/serial/psb_zneigh.f90 b/base/serial/psb_zneigh.f90 index 5c64de63..96ad646e 100644 --- a/base/serial/psb_zneigh.f90 +++ b/base/serial/psb_zneigh.f90 @@ -47,10 +47,9 @@ subroutine psb_zneigh(a,idx,neigh,n,info,lev) integer, allocatable :: neigh(:) ! the neighbours integer, optional :: lev ! level of neighbours to find - integer, allocatable :: tmpn(:) - integer :: lev_, dim, i, j, k, r, c, brow,nl, ifl,ill,& - & elem_pt, ii, n1, col_idx, ne, err_act, nn, nidx,ntl - character(len=20) :: name, ch_err + integer :: lev_, i, nl, ifl,ill,& + & n1, err_act, nn, nidx,ntl + character(len=20) :: name integer, allocatable :: ia(:), ja(:) complex(kind(1.d0)), allocatable :: val(:) @@ -67,8 +66,11 @@ subroutine psb_zneigh(a,idx,neigh,n,info,lev) end if call psb_sp_getrow(idx,a,n,ia,ja,val,info) - - call psb_realloc(n,neigh,info) + if (info == 0) call psb_realloc(n,neigh,info) + if (info /= 0) then + call psb_errpush(4000,name) + goto 9999 + end if neigh(1:n) = ja(1:n) ifl = 1 ill = n @@ -77,12 +79,20 @@ subroutine psb_zneigh(a,idx,neigh,n,info,lev) do nl = 2, lev_ n1 = ill - ifl + 1 call psb_ensure_size(ill+n1*n1,neigh,info) + if (info /= 0) then + call psb_errpush(4000,name) + goto 9999 + end if ntl = 0 do i=ifl,ill nidx=neigh(i) if ((nidx.ne.idx).and.(nidx.gt.0).and.(nidx.le.a%m)) then call psb_sp_getrow(nidx,a,nn,ia,ja,val,info) - call psb_ensure_size(ill+ntl+nn,neigh,info) + if (info==0) call psb_ensure_size(ill+ntl+nn,neigh,info) + if (info /= 0) then + call psb_errpush(4000,name) + goto 9999 + end if neigh(ill+ntl+1:ill+ntl+nn)=ja(1:nn) ntl = ntl+nn end if diff --git a/base/serial/psb_znumbmm.f90 b/base/serial/psb_znumbmm.f90 index cc4e071d..ee18594f 100644 --- a/base/serial/psb_znumbmm.f90 +++ b/base/serial/psb_znumbmm.f90 @@ -79,7 +79,7 @@ contains complex(kind(1.d0)) :: temp(:) integer, allocatable :: iarw(:), iacl(:),ibrw(:),ibcl(:) complex(kind(1.d0)), allocatable :: aval(:),bval(:) - integer :: maxlmn,i,j,m,n,k,l,istart,length,nazr,nbzr,jj,ii,minlm,minmn,minln + integer :: maxlmn,i,j,m,n,k,l,nazr,nbzr,jj,minlm,minmn,minln complex(kind(1.d0)) :: ajj diff --git a/base/serial/psb_zspclip.f90 b/base/serial/psb_zspclip.f90 index e90f3e8c..144f1180 100644 --- a/base/serial/psb_zspclip.f90 +++ b/base/serial/psb_zspclip.f90 @@ -47,8 +47,8 @@ subroutine psb_zspclip(a,b,info,imin,imax,jmin,jmax,rscale,cscale) integer, intent(in), optional :: imin,imax,jmin,jmax logical, intent(in), optional :: rscale,cscale - integer :: lrw_, ierr(5), err_act - character(len=20) :: name, ch_err + integer :: err_act + character(len=20) :: name integer :: imin_,imax_,jmin_,jmax_ logical :: rscale_,cscale_ integer :: sizeb, nzb, mb, kb, ifst, ilst, nrt, nzt, i, j diff --git a/base/serial/psb_zspcnv.f90 b/base/serial/psb_zspcnv.f90 index d43d681f..7e067411 100644 --- a/base/serial/psb_zspcnv.f90 +++ b/base/serial/psb_zspcnv.f90 @@ -63,8 +63,7 @@ subroutine psb_zspcnv2(a, b,info,afmt,upd,dupl) type(psb_zspmat_type) :: temp_a Integer :: nzr, ntry, ifc_, ia1_size,& & ia2_size, aspk_size,size_req,n_row,n_col,upd_,dupl_ - integer :: ip1, ip2, nnz, iflag, ichk, nnzt,& - & ipc, i, count, err_act, i1, i2, ia + integer :: err_act character :: check_,trans_,unitd_ character(len=5) :: afmt_ Integer, Parameter :: maxtry=8 @@ -389,11 +388,10 @@ subroutine psb_zspcnv1(a, info, afmt, upd, dupl) integer :: int_err(5) type(psb_zspmat_type) :: atemp - integer :: np,me,n_col,iout, err_act + integer :: err_act integer :: spstate integer :: upd_, dupl_ - integer :: ictxt,n_row - logical, parameter :: debug=.false., debugwrt=.false. + logical, parameter :: debug=.false. character(len=20) :: name, ch_err info = 0 @@ -471,18 +469,11 @@ subroutine psb_zspcnv1(a, info, afmt, upd, dupl) ! convert to user requested format after the temp copy end if - if (debugwrt) then - iout = 30+me - open(iout) - call psb_csprt(iout,atemp,head='Input mat') - close(iout) - endif - ! Do the real conversion into the requested storage format ! result is put in A call psb_spcnv(atemp,a,info,afmt=afmt,upd=upd,dupl=dupl) - IF (debug) WRITE (*, *) me,' ASB: From SPCNV',info,' ',A%FIDA + IF (debug) WRITE (*, *) ' ASB: From SPCNV',info,' ',A%FIDA if (info /= psb_no_err_) then info=4010 ch_err='psb_csdp' @@ -490,13 +481,6 @@ subroutine psb_zspcnv1(a, info, afmt, upd, dupl) goto 9999 endif - if (debugwrt) then - iout = 60+me - open(iout) - call psb_csprt(iout,a,head='Output mat') - close(iout) - endif - call psb_sp_free(atemp,info) else if (spstate == psb_spmat_upd_) then diff --git a/base/serial/psb_zspgetrow.f90 b/base/serial/psb_zspgetrow.f90 index b5119f50..cb2cea7e 100644 --- a/base/serial/psb_zspgetrow.f90 +++ b/base/serial/psb_zspgetrow.f90 @@ -56,7 +56,7 @@ subroutine psb_zspgetrow(irw,a,nz,ia,ja,val,info,iren,lrw,append,nzin) integer, intent(in), optional :: lrw, nzin logical :: append_ - integer :: i,j,k,ip,jp,nr,idx,iret,nzin_, nza, lrw_, irw_, err_act + integer :: nzin_, lrw_, irw_, err_act character(len=20) :: name, ch_err name='psb_spgetrow' diff --git a/base/serial/psb_zspgtblk.f90 b/base/serial/psb_zspgtblk.f90 index 87a91381..aa1b4f3d 100644 --- a/base/serial/psb_zspgtblk.f90 +++ b/base/serial/psb_zspgtblk.f90 @@ -57,12 +57,11 @@ subroutine psb_zspgtblk(irw,a,b,info,append,iren,lrw,srt) logical, intent(in), optional :: srt logical :: append_,srt_ - integer :: i,j,k,ip,jp,nr,idx, nz,iret,nzb, nza, lrw_, irw_, err_act - character(len=20) :: name, ch_err + integer :: nz,nzb, lrw_, irw_ + character(len=20) :: name name='psb_spgtblk' info = 0 -!!$ call psb_erractionsave(err_act) irw_ = irw if (present(lrw)) then @@ -111,18 +110,7 @@ subroutine psb_zspgtblk(irw,a,b,info,append,iren,lrw,srt) b%m = b%m+lrw_-irw+1 b%k = max(b%k,a%k) if (srt_) call psb_fixcoo(b,info) -!!$ call psb_erractionrestore(err_act) return -9999 continue -!!$ call psb_erractionrestore(err_act) - call psb_erractionsave(err_act) - if (err_act.eq.psb_act_abort_) then - call psb_error() - return - end if - return - - end subroutine psb_zspgtblk diff --git a/base/serial/psb_zspgtdiag.f90 b/base/serial/psb_zspgtdiag.f90 index 05bfbbd8..c1760894 100644 --- a/base/serial/psb_zspgtdiag.f90 +++ b/base/serial/psb_zspgtdiag.f90 @@ -50,7 +50,7 @@ subroutine psb_zspgtdiag(a,d,info) integer, intent(out) :: info type(psb_zspmat_type) :: tmpa - integer :: i,j,k,nr, nz, err_act, ii, rng, irb, nrb + integer :: i,j, err_act, ii, rng, irb, nrb character(len=20) :: name, ch_err name='psb_zspgtdiag' diff --git a/base/serial/psb_zspscal.f90 b/base/serial/psb_zspscal.f90 index fd316410..4ceca0dd 100644 --- a/base/serial/psb_zspscal.f90 +++ b/base/serial/psb_zspscal.f90 @@ -48,7 +48,7 @@ subroutine psb_zspscal(a,d,info) integer, intent(out) :: info complex(kind(1.d0)), intent(in) :: d(:) - integer :: i,j,k,nr, nz,err_act + integer :: i,j, err_act character(len=20) :: name, ch_err name='psb_zspscal' diff --git a/base/serial/psb_zsymbmm.f90 b/base/serial/psb_zsymbmm.f90 index 0a909b9d..a487f748 100644 --- a/base/serial/psb_zsymbmm.f90 +++ b/base/serial/psb_zsymbmm.f90 @@ -57,7 +57,7 @@ subroutine psb_zsymbmm(a,b,c,info) end subroutine symbmm end interface - character(len=20) :: name, ch_err + character(len=20) :: name integer :: err_act logical :: csra, csrb name='psb_symbmm' @@ -112,7 +112,7 @@ contains integer :: index(:),info integer, allocatable :: iarw(:), iacl(:),ibrw(:),ibcl(:) complex(kind(1.d0)), allocatable :: aval(:),bval(:) - integer :: maxlmn,i,j,m,n,k,l,istart,length,nazr,nbzr,jj,ii,minlm,minmn + integer :: maxlmn,i,j,m,n,k,l,istart,length,nazr,nbzr,jj,minlm,minmn n = a%m diff --git a/base/serial/psb_ztransc.f90 b/base/serial/psb_ztransc.f90 index b099f2de..82a6378e 100644 --- a/base/serial/psb_ztransc.f90 +++ b/base/serial/psb_ztransc.f90 @@ -45,9 +45,8 @@ subroutine psb_ztransc(a,b,c,fmt) character(len=*), optional :: fmt character(len=5) :: fmt_ - integer :: c_, info, nz,i + integer :: c_, info, i integer, allocatable :: itmp(:) - type(psb_zspmat_type) :: tmp if (present(c)) then c_=c diff --git a/base/serial/psb_ztransp.f90 b/base/serial/psb_ztransp.f90 index 8223b850..f1cefbcc 100644 --- a/base/serial/psb_ztransp.f90 +++ b/base/serial/psb_ztransp.f90 @@ -45,9 +45,8 @@ subroutine psb_ztransp(a,b,c,fmt) character(len=*), optional :: fmt character(len=5) :: fmt_ - integer :: c_, info, nz + integer :: c_, info integer, allocatable :: itmp(:) - type(psb_zspmat_type) :: tmp if (present(c)) then c_=c diff --git a/base/tools/psb_cd_inloc.f90 b/base/tools/psb_cd_inloc.f90 index 9ea04f8c..0e43c161 100644 --- a/base/tools/psb_cd_inloc.f90 +++ b/base/tools/psb_cd_inloc.f90 @@ -54,7 +54,7 @@ subroutine psb_cd_inloc(v, ictxt, desc_a, info) !locals Integer :: counter,i,j,np,me,loc_row,err,& - & loc_col,nprocs,n,itmpov, k,glx,gidx,gle,& + & loc_col,nprocs,n,itmpov, k,glx,& & l_ov_ix,l_ov_el,idx, flag_, err_act,m integer :: int_err(5),exch(3) Integer, allocatable :: temp_ovrlap(:), ov_idx(:),ov_el(:),tmpgidx(:,:) diff --git a/base/tools/psb_cdals.f90 b/base/tools/psb_cdals.f90 index df07f418..9b9d4708 100644 --- a/base/tools/psb_cdals.f90 +++ b/base/tools/psb_cdals.f90 @@ -58,7 +58,7 @@ subroutine psb_cdals(m, n, parts, ictxt, desc_a, info) !locals Integer :: counter,i,j,np,me,loc_row,err,loc_col,nprocs,& - & l_ov_ix,l_ov_el,idx, err_act, itmpov, k, ns, glx, mth + & l_ov_ix,l_ov_el,idx, err_act, itmpov, k, glx integer :: int_err(5),exch(3) integer, allocatable :: prc_v(:), temp_ovrlap(:), ov_idx(:),ov_el(:) logical, parameter :: debug=.false. diff --git a/base/tools/psb_cdalv.f90 b/base/tools/psb_cdalv.f90 index 3944f522..8683f78d 100644 --- a/base/tools/psb_cdalv.f90 +++ b/base/tools/psb_cdalv.f90 @@ -57,7 +57,7 @@ subroutine psb_cdalv(v, ictxt, desc_a, info, flag) !locals Integer :: counter,i,j,np,me,loc_row,err,& - & loc_col,nprocs,m,n,itmpov, k,glx,gidx,gle,& + & loc_col,nprocs,m,n,itmpov, k,glx,& & l_ov_ix,l_ov_el,idx, flag_, err_act integer :: int_err(5),exch(3) Integer, allocatable :: temp_ovrlap(:), ov_idx(:),ov_el(:) diff --git a/base/tools/psb_cdcpy.f90 b/base/tools/psb_cdcpy.f90 index 6dc0988b..11224394 100644 --- a/base/tools/psb_cdcpy.f90 +++ b/base/tools/psb_cdcpy.f90 @@ -54,9 +54,9 @@ subroutine psb_cdcpy(desc_in, desc_out, info) integer, intent(out) :: info !locals - integer :: np,me,ictxt, isz, err_act,idx,gidx,lidx + integer :: np,me,ictxt, err_act logical, parameter :: debug=.false.,debugprt=.false. - character(len=20) :: name, char_err + character(len=20) :: name if (debug) write(0,*) me,'Entered CDCPY' if (psb_get_errstatus() /= 0) return info = 0 diff --git a/base/tools/psb_cdins.f90 b/base/tools/psb_cdins.f90 index 6afcf479..1371c0ba 100644 --- a/base/tools/psb_cdins.f90 +++ b/base/tools/psb_cdins.f90 @@ -62,13 +62,13 @@ subroutine psb_cdins(nz,ia,ja,desc_a,info,ila,jla) !LOCALS..... - integer :: i,ictxt,k,dectype,mglob, nglob,err - integer :: np, me, isize + integer :: ictxt,dectype,mglob, nglob + integer :: np, me integer :: nrow,ncol, err_act logical, parameter :: debug=.false. integer, parameter :: relocsz=200 integer, allocatable :: ila_(:), jla_(:) - character(len=20) :: name,ch_err + character(len=20) :: name info = 0 name = 'psb_cdins' diff --git a/base/tools/psb_cdren.f90 b/base/tools/psb_cdren.f90 index be04d24c..52b0091d 100644 --- a/base/tools/psb_cdren.f90 +++ b/base/tools/psb_cdren.f90 @@ -67,7 +67,7 @@ subroutine psb_cdren(trans,iperm,desc_a,info) integer :: i,j,np,me, n_col, kh, nh integer :: dectype integer :: ictxt,n_row, int_err(5), err_act - real(kind(1.d0)) :: time(10), real_err(6) + real(kind(1.d0)) :: time(10) logical, parameter :: debug=.false. character(len=20) :: name diff --git a/base/tools/psb_dallc.f90 b/base/tools/psb_dallc.f90 index e63037ae..42e9e25e 100644 --- a/base/tools/psb_dallc.f90 +++ b/base/tools/psb_dallc.f90 @@ -60,7 +60,7 @@ subroutine psb_dalloc(x, desc_a, info, n) integer :: np,me,err,n_col,n_row,i,j,err_act integer :: ictxt,n_ integer :: int_err(5), exch(3) - character(len=20) :: name, ch_err + character(len=20) :: name name='psb_dallc' if(psb_get_errstatus() /= 0) return @@ -206,9 +206,9 @@ subroutine psb_dallocv(x, desc_a,info,n) !locals integer :: np,me,n_col,n_row,i,err_act - integer :: ictxt, n_, int_err(5) + integer :: ictxt, int_err(5) logical, parameter :: debug=.false. - character(len=20) :: name, ch_err + character(len=20) :: name if(psb_get_errstatus() /= 0) return info=0 diff --git a/base/tools/psb_dasb.f90 b/base/tools/psb_dasb.f90 index 4cc217ca..27543e62 100644 --- a/base/tools/psb_dasb.f90 +++ b/base/tools/psb_dasb.f90 @@ -57,7 +57,7 @@ subroutine psb_dasb(x, desc_a, info) ! local variables integer :: ictxt,np,me,nrow,ncol, err_act - integer :: int_err(5), i1sz, i2sz + integer :: i1sz, i2sz real(kind(1.d0)),parameter :: one=1 logical, parameter :: debug=.false. character(len=20) :: name, ch_err diff --git a/base/tools/psb_dcdovr.F90 b/base/tools/psb_dcdovr.F90 index ef9730b4..64b4416b 100644 --- a/base/tools/psb_dcdovr.F90 +++ b/base/tools/psb_dcdovr.F90 @@ -95,13 +95,13 @@ Subroutine psb_dcdovr(a,desc_a,novr,desc_ov,info, extype) integer icomm, err_act ! .. Local Scalars .. - Integer :: i, j, k, np, me,m,nnzero,& + Integer :: i, j, np, me,m,nnzero,& & ictxt, lovr, lworks,lworkr, n_row,n_col, int_err(5),& & index_dim,elem_dim, l_tmp_ovr_idx,l_tmp_halo, nztot,nhalo Integer :: counter,counter_h, counter_o, counter_e,idx,gidx,proc,n_elem_recv,& & n_elem_send,tot_recv,tot_elem,cntov_o,& - & counter_t,n_elem,i_ovr,jj,proc_id,isz, mglob, glx, & - & idxr, idxs, lx, iszr, iszs, nxch, nsnd, nrcv,lidx,irsv, extype_ + & counter_t,n_elem,i_ovr,jj,proc_id,isz, & + & idxr, idxs, iszr, iszs, nxch, nsnd, nrcv,lidx, extype_ type(psb_dspmat_type) :: blk Integer, allocatable :: tmp_halo(:),tmp_ovr_idx(:), orig_ovr(:) @@ -233,6 +233,7 @@ Subroutine psb_dcdovr(a,desc_a,novr,desc_ov,info, extype) tmp_halo(:) = -1 counter_e = 1 tot_recv = 0 + counter_t = 1 counter_h = 1 counter_o = 1 cntov_o = 1 diff --git a/base/tools/psb_dins.f90 b/base/tools/psb_dins.f90 index b3c062ac..0c43fd97 100644 --- a/base/tools/psb_dins.f90 +++ b/base/tools/psb_dins.f90 @@ -68,7 +68,7 @@ subroutine psb_dinsvi(m, irw, val, x, desc_a, info, dupl) integer, optional, intent(in) :: dupl !locals..... - integer :: ictxt,i,loc_row,glob_row,& + integer :: ictxt,i,& & loc_rows,loc_cols,mglob,err_act, int_err(5) integer :: np, me, dupl_ integer, allocatable :: irl(:) @@ -254,7 +254,7 @@ subroutine psb_dinsi(m, irw, val, x, desc_a, info, dupl) integer, optional, intent(in) :: dupl !locals..... - integer :: ictxt,i,loc_row,glob_row,j,n,& + integer :: ictxt,i,loc_row,j,n,& & loc_rows,loc_cols,mglob,err_act, int_err(5) integer :: np,me,dupl_ integer, allocatable :: irl(:) diff --git a/base/tools/psb_dspasb.f90 b/base/tools/psb_dspasb.f90 index 5cef416c..8458dd38 100644 --- a/base/tools/psb_dspasb.f90 +++ b/base/tools/psb_dspasb.f90 @@ -67,10 +67,8 @@ subroutine psb_dspasb(a,desc_a, info, afmt, upd, dupl) character(len=*), optional, intent(in) :: afmt !....Locals.... integer :: int_err(5) - type(psb_dspmat_type) :: atemp - integer :: np,me,n_col,iout, err_act + integer :: np,me,n_col, err_act integer :: spstate - integer :: upd_, dupl_ integer :: ictxt,n_row logical, parameter :: debug=.false., debugwrt=.false. character(len=20) :: name, ch_err diff --git a/base/tools/psb_dsphalo.F90 b/base/tools/psb_dsphalo.F90 index 909bdc51..abcaba00 100644 --- a/base/tools/psb_dsphalo.F90 +++ b/base/tools/psb_dsphalo.F90 @@ -93,7 +93,7 @@ Subroutine psb_dsphalo(a,desc_a,blk,info,rowcnv,colcnv,& logical :: rowcnv_,colcnv_,rowscale_,colscale_ character(len=5) :: outfmt_ Logical,Parameter :: debug=.false., debugprt=.false. - real(kind(1.d0)) :: t1,t2,t3,t4,t5,t6,t7,t8,t9 + real(kind(1.d0)) :: t1,t2,t3,t4,t5 character(len=20) :: name, ch_err if(psb_get_errstatus() /= 0) return diff --git a/base/tools/psb_glob_to_loc.f90 b/base/tools/psb_glob_to_loc.f90 index 2ba96383..093f5093 100644 --- a/base/tools/psb_glob_to_loc.f90 +++ b/base/tools/psb_glob_to_loc.f90 @@ -63,7 +63,7 @@ subroutine psb_glob_to_loc2(x,y,desc_a,info,iact,owned) logical, intent(in), optional :: owned !....locals.... - integer :: n, i, tmp + integer :: n character :: act integer :: int_err(5), err_act real(kind(1.d0)) :: real_val @@ -189,11 +189,10 @@ subroutine psb_glob_to_loc(x,desc_a,info,iact,owned) character, intent(in), optional :: iact !....locals.... - integer :: n, i, tmp, nk, key, idx, ih, nh, lb, ub, lm + integer :: n character :: act - integer :: int_err(5), err_act, dectype - real(kind(1.d0)) :: real_val, t0, t1,t2 - logical :: owned_ + integer :: err_act, dectype + logical :: owned_ integer, parameter :: zero=0 character(len=20) :: name integer :: ictxt, iam, np diff --git a/base/tools/psb_ialloc.f90 b/base/tools/psb_ialloc.f90 index b946117c..d7ef41b7 100644 --- a/base/tools/psb_ialloc.f90 +++ b/base/tools/psb_ialloc.f90 @@ -56,10 +56,10 @@ subroutine psb_ialloc(x, desc_a, info, n) !locals - integer :: np,me,err,n_col,n_row,i,j,err_act + integer :: np,me,n_col,n_row,i,j,err_act integer :: ictxt,n_ integer :: int_err(5), exch(3) - character(len=20) :: name, ch_err + character(len=20) :: name if(psb_get_errstatus() /= 0) return info=0 @@ -204,10 +204,10 @@ subroutine psb_iallocv(x, desc_a, info,n) !locals integer :: np,me,n_col,n_row,err_act - integer :: ictxt, n_ + integer :: ictxt integer :: int_err(5) logical, parameter :: debug=.false. - character(len=20) :: name, ch_err + character(len=20) :: name if(psb_get_errstatus() /= 0) return info=0 diff --git a/base/tools/psb_icdasb.F90 b/base/tools/psb_icdasb.F90 index 2bc346e0..cc48298c 100644 --- a/base/tools/psb_icdasb.F90 +++ b/base/tools/psb_icdasb.F90 @@ -64,11 +64,11 @@ subroutine psb_icdasb(desc_a,info,ext_hv) integer :: int_err(5) integer,allocatable :: ovrlap_index(:),halo_index(:), ext_index(:) - integer :: i,j,np,me, n_col, dectype, err_act, icomm + integer :: i,np,me, n_col, dectype, err_act, icomm integer :: ictxt,n_row logical :: ext_hv_ logical, parameter :: debug=.false., debugwrt=.false. - character(len=20) :: name,ch_err + character(len=20) :: name info = 0 int_err(1) = 0 diff --git a/base/tools/psb_iins.f90 b/base/tools/psb_iins.f90 index d998bf81..0381fa21 100644 --- a/base/tools/psb_iins.f90 +++ b/base/tools/psb_iins.f90 @@ -68,7 +68,7 @@ subroutine psb_iinsvi(m, irw, val, x, desc_a, info, dupl) integer, optional, intent(in) :: dupl !locals..... - integer :: ictxt,i,loc_row,glob_row,& + integer :: ictxt,i,& & loc_rows,loc_cols,mglob,err_act, int_err(5) integer :: np, me, dupl_ integer, allocatable :: irl(:) @@ -253,7 +253,7 @@ subroutine psb_iinsi(m, irw, val, x, desc_a, info, dupl) integer, optional, intent(in) :: dupl !locals..... - integer :: ictxt,i,loc_row,glob_row,j,n,& + integer :: ictxt,i,loc_row,j,n,& & loc_rows,loc_cols,mglob,err_act, int_err(5) integer :: np,me,dupl_ integer, allocatable :: irl(:) diff --git a/base/tools/psb_zallc.f90 b/base/tools/psb_zallc.f90 index 164c0ae0..42ddc47c 100644 --- a/base/tools/psb_zallc.f90 +++ b/base/tools/psb_zallc.f90 @@ -59,7 +59,7 @@ subroutine psb_zalloc(x, desc_a, info, n) integer :: np,me,err,n_col,n_row,i,j,err_act integer :: ictxt,n_ integer :: int_err(5),exch(3) - character(len=20) :: name, ch_err + character(len=20) :: name name='psb_zallc' if(psb_get_errstatus() /= 0) return @@ -204,9 +204,9 @@ subroutine psb_zallocv(x, desc_a,info,n) !locals integer :: np,me,n_col,n_row,i,err_act - integer :: ictxt, n_, int_err(5) + integer :: ictxt, int_err(5) logical, parameter :: debug=.false. - character(len=20) :: name, ch_err + character(len=20) :: name if(psb_get_errstatus() /= 0) return info=0 diff --git a/base/tools/psb_zcdovr.F90 b/base/tools/psb_zcdovr.F90 index 67a673b2..1a556af0 100644 --- a/base/tools/psb_zcdovr.F90 +++ b/base/tools/psb_zcdovr.F90 @@ -94,13 +94,13 @@ Subroutine psb_zcdovr(a,desc_a,novr,desc_ov,info, extype) integer icomm, err_act ! .. Local Scalars .. - Integer :: i, j, k, np, me,m,nnzero,& + Integer :: i, j, np, me,m,nnzero,& & ictxt, lovr, lworks,lworkr, n_row,n_col, int_err(5),& & index_dim,elem_dim, l_tmp_ovr_idx,l_tmp_halo, nztot,nhalo Integer :: counter,counter_h, counter_o, counter_e,idx,gidx,proc,n_elem_recv,& & n_elem_send,tot_recv,tot_elem,cntov_o,& - & counter_t,n_elem,i_ovr,jj,proc_id,isz, mglob, glx, & - & idxr, idxs, lx, iszr, iszs, nxch, nsnd, nrcv,lidx,irsv, extype_ + & counter_t,n_elem,i_ovr,jj,proc_id,isz, & + & idxr, idxs, iszr, iszs, nxch, nsnd, nrcv,lidx, extype_ type(psb_zspmat_type) :: blk Integer, allocatable :: tmp_halo(:),tmp_ovr_idx(:), orig_ovr(:) @@ -232,6 +232,7 @@ Subroutine psb_zcdovr(a,desc_a,novr,desc_ov,info, extype) tmp_halo(:) = -1 counter_e = 1 tot_recv = 0 + counter_t = 1 counter_h = 1 counter_o = 1 cntov_o = 1 diff --git a/base/tools/psb_zins.f90 b/base/tools/psb_zins.f90 index c48874e9..d2127961 100644 --- a/base/tools/psb_zins.f90 +++ b/base/tools/psb_zins.f90 @@ -69,7 +69,7 @@ subroutine psb_zinsvi(m, irw, val, x, desc_a, info, dupl) integer, optional, intent(in) :: dupl !locals..... - integer :: ictxt,i,loc_row,glob_row,& + integer :: ictxt,i,& & loc_rows,loc_cols,mglob,err_act, int_err(5) integer :: np, me, dupl_ integer, allocatable :: irl(:) @@ -254,7 +254,7 @@ subroutine psb_zinsi(m, irw, val, x, desc_a, info, dupl) integer, optional, intent(in) :: dupl !locals..... - integer :: ictxt,i,loc_row,glob_row,j,n,& + integer :: ictxt,i,loc_row,j,n,& & loc_rows,loc_cols,mglob,err_act, int_err(5) integer :: np,me,dupl_ integer, allocatable :: irl(:) diff --git a/base/tools/psb_zspasb.f90 b/base/tools/psb_zspasb.f90 index 2985c030..be928bc0 100644 --- a/base/tools/psb_zspasb.f90 +++ b/base/tools/psb_zspasb.f90 @@ -68,10 +68,8 @@ subroutine psb_zspasb(a,desc_a, info, afmt, upd, dupl) character(len=*), optional, intent(in) :: afmt !....Locals.... integer :: int_err(5) - type(psb_zspmat_type) :: atemp - integer :: np,me,n_col,iout, err_act + integer :: np,me,n_col, err_act integer :: spstate - integer :: upd_, dupl_ integer :: ictxt,n_row logical, parameter :: debug=.false., debugwrt=.false. character(len=20) :: name, ch_err diff --git a/base/tools/psb_zsphalo.F90 b/base/tools/psb_zsphalo.F90 index d7824b9d..d33f265f 100644 --- a/base/tools/psb_zsphalo.F90 +++ b/base/tools/psb_zsphalo.F90 @@ -92,7 +92,7 @@ Subroutine psb_zsphalo(a,desc_a,blk,info,rowcnv,colcnv,& logical :: rowcnv_,colcnv_,rowscale_,colscale_ character(len=5) :: outfmt_ Logical,Parameter :: debug=.false., debugprt=.false. - real(kind(1.d0)) :: t1,t2,t3,t4,t5,t6,t7,t8,t9 + real(kind(1.d0)) :: t1,t2,t3,t4,t5 character(len=20) :: name, ch_err if(psb_get_errstatus() /= 0) return diff --git a/krylov/psb_dbicg.f90 b/krylov/psb_dbicg.f90 index af08c1fe..ed27e30b 100644 --- a/krylov/psb_dbicg.f90 +++ b/krylov/psb_dbicg.f90 @@ -96,12 +96,12 @@ subroutine psb_dbicg(a,prec,b,x,eps,desc_a,info,& & r(:), p(:), zt(:), pt(:), z(:), rt(:),qt(:) integer :: int_err(5) real(kind(1.d0)) ::rerr - integer ::litmax, liter, naux, m, mglob, it, itrace_,& + integer ::litmax, naux, mglob, it, itrace_,& & np,me, n_row, n_col, istop_, err_act logical, parameter :: debug = .false. logical, parameter :: exchange=.true., noexchange=.false. integer, parameter :: irmax = 8 - integer :: itx, i, isvch, ictxt + integer :: itx, isvch, ictxt real(kind(1.d0)) :: alpha, beta, rho, rho_old, rni, xni, bni, ani,& & sigma,bn2 character(len=20) :: name,ch_err diff --git a/krylov/psb_dcg.f90 b/krylov/psb_dcg.f90 index 450e8d36..02a2f78f 100644 --- a/krylov/psb_dcg.f90 +++ b/krylov/psb_dcg.f90 @@ -96,8 +96,8 @@ Subroutine psb_dcg(a,prec,b,x,eps,desc_a,info,& real(kind(1.d0)) ::rerr real(kind(1.d0)) ::alpha, beta, rho, rho_old, rni, xni, bni, ani,bn2,& & sigma - integer :: litmax, liter, istop_, naux, m, mglob, it, itx, itrace_,& - & np,me, n_col, isvch, ich, ictxt, n_row,err_act, int_err(5) + integer :: litmax, istop_, naux, mglob, it, itx, itrace_,& + & np,me, n_col, isvch, ictxt, n_row,err_act, int_err(5) logical, parameter :: exchange=.true., noexchange=.false. character(len=20) :: name diff --git a/krylov/psb_dcgs.f90 b/krylov/psb_dcgs.f90 index 1304643a..209a35f7 100644 --- a/krylov/psb_dcgs.f90 +++ b/krylov/psb_dcgs.f90 @@ -93,15 +93,15 @@ Subroutine psb_dcgs(a,prec,b,x,eps,desc_a,info,& Real(Kind(1.d0)), Pointer :: ww(:), q(:),& & r(:), p(:), v(:), s(:), z(:), f(:), rt(:),qt(:),uv(:) Real(Kind(1.d0)) :: rerr - Integer :: litmax, naux, m, mglob, it, itrace_,int_err(5),& + Integer :: litmax, naux, mglob, it, itrace_,int_err(5),& & np,me, n_row, n_col,istop_, err_act Logical, Parameter :: exchange=.True., noexchange=.False. Integer, Parameter :: irmax = 8 - Integer :: itx, i, isvch, ich, ictxt + Integer :: itx, isvch, ictxt Logical, Parameter :: debug = .false. Real(Kind(1.d0)) :: alpha, beta, rho, rho_old, rni, xni, bni, ani,bn2,& & sigma - character(len=20) :: name,ch_err + character(len=20) :: name info = 0 name = 'psb_dcgs' diff --git a/krylov/psb_dcgstab.F90 b/krylov/psb_dcgstab.F90 index b8e0cb63..6cf14bac 100644 --- a/krylov/psb_dcgstab.F90 +++ b/krylov/psb_dcgstab.F90 @@ -93,12 +93,12 @@ Subroutine psb_dcgstab(a,prec,b,x,eps,desc_a,info,& Real(Kind(1.d0)), Pointer :: q(:),& & r(:), p(:), v(:), s(:), t(:), z(:), f(:) Real(Kind(1.d0)) :: rerr - Integer :: litmax, naux, m, mglob, it,itrace_,& + Integer :: litmax, naux, mglob, it,itrace_,& & np,me, n_row, n_col Logical, Parameter :: debug = .false. Logical, Parameter :: exchange=.True., noexchange=.False., debug1 = .False. Integer, Parameter :: irmax = 8 - Integer :: itx, i, isvch, ictxt, err_act, int_err(5),ii + Integer :: itx, isvch, ictxt, err_act, int_err(5) Integer :: istop_ Real(Kind(1.d0)) :: alpha, beta, rho, rho_old, rni, xni, bni, ani,& & sigma, omega, tau, rn0, bn2 diff --git a/krylov/psb_dcgstabl.f90 b/krylov/psb_dcgstabl.f90 index 4b908bff..a019d5bd 100644 --- a/krylov/psb_dcgstabl.f90 +++ b/krylov/psb_dcgstabl.f90 @@ -101,14 +101,14 @@ Subroutine psb_dcgstabl(a,prec,b,x,eps,desc_a,info,& & s(:), t(:), z(:), f(:), gamma(:), gamma1(:), gamma2(:), taum(:,:), sigma(:) Real(Kind(1.d0)) :: rerr - Integer :: litmax, naux, m, mglob, it, itrace_,& + Integer :: litmax, naux, mglob, it, itrace_,& & np,me, n_row, n_col, nl, err_act Logical, Parameter :: exchange=.True., noexchange=.False. Integer, Parameter :: irmax = 8 - Integer :: itx, i, isvch, ich, ictxt,istop_,j, int_err(5) + Integer :: itx, i, isvch, ictxt,istop_,j, int_err(5) Logical, Parameter :: debug = .False. Real(Kind(1.d0)) :: alpha, beta, rho, rho_old, rni, xni, bni, ani,bn2,& - & omega, tau + & omega character(len=20) :: name info = 0 diff --git a/krylov/psb_dgmresr.f90 b/krylov/psb_dgmresr.f90 index 890d7d82..d4749508 100644 --- a/krylov/psb_dgmresr.f90 +++ b/krylov/psb_dgmresr.f90 @@ -106,11 +106,11 @@ Subroutine psb_dgmresr(a,prec,b,x,eps,desc_a,info,& Real(Kind(1.d0)), allocatable, target :: aux(:),w(:),w1(:), v(:,:) Real(Kind(1.d0)), allocatable :: c(:),s(:), h(:,:), rs(:),rst(:),xt(:) Real(Kind(1.d0)) :: rerr, scal, gm, rti, rti1 - Integer ::litmax, liter, naux, m, mglob, it,k, itrace_,& + Integer ::litmax, naux, mglob, it,k, itrace_,& & np,me, n_row, n_col, nl, int_err(5) Logical, Parameter :: exchange=.True., noexchange=.False., use_drot=.true. Integer, Parameter :: irmax = 8 - Integer :: itx, i, isvch, ich, ictxt,istop_, err_act + Integer :: itx, i, isvch, ictxt,istop_, err_act Logical, Parameter :: debug = .false. Real(Kind(1.d0)) :: rni, xni, bni, ani,bn2, dt real(kind(1.d0)), external :: dnrm2 diff --git a/krylov/psb_zcgs.f90 b/krylov/psb_zcgs.f90 index 1452b3f0..0dfca64a 100644 --- a/krylov/psb_zcgs.f90 +++ b/krylov/psb_zcgs.f90 @@ -93,12 +93,11 @@ Subroutine psb_zcgs(a,prec,b,x,eps,desc_a,info,& Complex(Kind(1.d0)), Pointer :: ww(:), q(:),& & r(:), p(:), v(:), s(:), z(:), f(:), rt(:),qt(:),uv(:) Real(Kind(1.d0)) :: rerr - Integer :: litmax, naux, m, mglob, it, itrace_,int_err(5),& + Integer :: litmax, naux, mglob, it, itrace_,int_err(5),& & np,me, n_row, n_col,istop_, err_act Logical, Parameter :: exchange=.True., noexchange=.False. Integer, Parameter :: irmax = 8 - Integer :: itx, i, isvch, ictxt - Logical :: do_renum_left + Integer :: itx, isvch, ictxt Logical, Parameter :: debug = .false. Real(Kind(1.d0)) :: rni, xni, bni, ani,bn2 complex(Kind(1.d0)) :: alpha, beta, rho, rho_old, sigma diff --git a/krylov/psb_zcgstab.f90 b/krylov/psb_zcgstab.f90 index 7b0b516e..18b7dd39 100644 --- a/krylov/psb_zcgstab.f90 +++ b/krylov/psb_zcgstab.f90 @@ -94,12 +94,12 @@ Subroutine psb_zcgstab(a,prec,b,x,eps,desc_a,info,& Complex(Kind(1.d0)), Pointer :: q(:),& & r(:), p(:), v(:), s(:), t(:), z(:), f(:) Real(Kind(1.d0)) :: rerr - Integer :: litmax, naux, m, mglob, it,itrace_,& + Integer :: litmax, naux, mglob, it,itrace_,& & np,me, n_row, n_col Logical, Parameter :: debug = .false. Logical, Parameter :: exchange=.True., noexchange=.False., debug1 = .False. Integer, Parameter :: irmax = 8 - Integer :: itx, i, isvch, ich, ictxt, err_act, int_err(5),ii + Integer :: itx, isvch, ictxt, err_act, int_err(5) Integer :: istop_ complex(Kind(1.d0)) :: alpha, beta, rho, rho_old, sigma, omega, tau Real(Kind(1.d0)) :: rni, xni, bni, ani, rn0, bn2 diff --git a/krylov/psb_zgmresr.f90 b/krylov/psb_zgmresr.f90 index 696caab8..a9d8d7c4 100644 --- a/krylov/psb_zgmresr.f90 +++ b/krylov/psb_zgmresr.f90 @@ -105,15 +105,15 @@ Subroutine psb_zgmresr(a,prec,b,x,eps,desc_a,info,& !!$ local data complex(Kind(1.d0)), allocatable, target :: aux(:),w(:),w1(:), v(:,:) complex(Kind(1.d0)), allocatable :: c(:),s(:), h(:,:), rs(:),rst(:),xt(:) - Real(Kind(1.d0)) :: rerr, gm,tmp + Real(Kind(1.d0)) :: rerr, tmp complex(kind(1.d0)) :: rti, rti1, scal - Integer ::litmax, liter, naux, m, mglob, it,k, itrace_,& + Integer ::litmax, naux, mglob, it,k, itrace_,& & np,me, n_row, n_col, nl, int_err(5) Logical, Parameter :: exchange=.True., noexchange=.False. Integer, Parameter :: irmax = 8 - Integer :: itx, i, isvch, ich, ictxt,istop_, err_act + Integer :: itx, i, isvch, ictxt,istop_, err_act Logical, Parameter :: debug = .false. - Real(Kind(1.d0)) :: rni, xni, bni, ani,bn2, dt + Real(Kind(1.d0)) :: rni, xni, bni, ani,bn2 real(kind(1.d0)), external :: dznrm2 character(len=20) :: name diff --git a/prec/psb_dbjac_aply.f90 b/prec/psb_dbjac_aply.f90 index 9ae5d292..01f30eea 100644 --- a/prec/psb_dbjac_aply.f90 +++ b/prec/psb_dbjac_aply.f90 @@ -50,10 +50,8 @@ subroutine psb_dbjac_aply(alpha,prec,x,beta,y,desc_data,trans,work,info) ! Local variables integer :: n_row,n_col - real(kind(1.d0)), pointer :: ww(:), aux(:), tx(:),ty(:),tb(:) - character ::diagl, diagu - integer :: ictxt,np,me,i, nrg, err_act, int_err(5) - real(kind(1.d0)) :: t1, t2, t3, t4, t5, t6, t7 + real(kind(1.d0)), pointer :: ww(:), aux(:) + integer :: ictxt,np,me, err_act, int_err(5) logical,parameter :: debug=.false., debugprt=.false. character(len=20) :: name, ch_err diff --git a/prec/psb_dbjac_bld.f90 b/prec/psb_dbjac_bld.f90 index f41756a2..db67dabe 100644 --- a/prec/psb_dbjac_bld.f90 +++ b/prec/psb_dbjac_bld.f90 @@ -42,14 +42,13 @@ subroutine psb_dbjac_bld(a,desc_a,p,upd,info) character, intent(in) :: upd ! .. Local Scalars .. - integer :: i, j, jj, k, kk, m + integer :: i, m integer :: int_err(5) character :: trans, unitd - type(psb_dspmat_type) :: blck, atmp + type(psb_dspmat_type) :: atmp real(kind(1.d0)) :: t1,t2,t3,t4,t5,t6, t7, t8 logical, parameter :: debugprt=.false., debug=.false., aggr_dump=.false. - integer nztota, nztotb, nztmp, nzl, nnr, ir, err_act,& - & n_row, nrow_a,n_col, nhalo, ind, iind, i1,i2,ia + integer nztota, err_act, n_row, nrow_a,n_col, nhalo integer :: ictxt,np,me character(len=20) :: name, ch_err diff --git a/prec/psb_ddiagsc_bld.f90 b/prec/psb_ddiagsc_bld.f90 index 01adb636..aab70746 100644 --- a/prec/psb_ddiagsc_bld.f90 +++ b/prec/psb_ddiagsc_bld.f90 @@ -42,11 +42,10 @@ subroutine psb_ddiagsc_bld(a,desc_a,p,upd,info) ! Local scalars - Integer :: err, n_row, n_col,I,j,k,ictxt,& - & me,np,mglob,lw, err_act + Integer :: err, n_row, n_col,I,ictxt,& + & me,np,mglob, err_act real(kind(1.d0)),allocatable :: gd(:), work(:) integer :: int_err(5) - character :: iupd logical, parameter :: debug=.false. integer,parameter :: iroot=0,iout=60,ilout=40 diff --git a/prec/psb_dgprec_aply.f90 b/prec/psb_dgprec_aply.f90 index d1f7b992..339d4a3a 100644 --- a/prec/psb_dgprec_aply.f90 +++ b/prec/psb_dgprec_aply.f90 @@ -47,11 +47,10 @@ subroutine psb_dgprec_aply(alpha,prec,x,beta,y,desc_data,trans,work,info) integer, intent(out) :: info ! Local variables - integer :: n_row,n_col, int_err(5) - real(kind(1.d0)), pointer :: ww(:), aux(:), tx(:),ty(:) + integer :: n_row,int_err(5) + real(kind(1.d0)), pointer :: ww(:) character ::diagl, diagu - integer :: ictxt,np,me,i, isz, nrg, err_act - real(kind(1.d0)) :: t1, t2, t3, t4, t5, t6, t7 + integer :: ictxt,np,me, err_act logical,parameter :: debug=.false., debugprt=.false. character(len=20) :: name, ch_err diff --git a/prec/psb_dilu_fct.f90 b/prec/psb_dilu_fct.f90 index 4dcbacf9..38a002ea 100644 --- a/prec/psb_dilu_fct.f90 +++ b/prec/psb_dilu_fct.f90 @@ -45,9 +45,7 @@ subroutine psb_dilu_fct(a,l,u,d,info,blck) type(psb_dspmat_type),intent(in), optional, target :: blck real(kind(1.d0)), intent(inout) :: d(:) ! .. Local Scalars .. - real(kind(1.d0)) :: dia, temp - integer :: i, j, jj, k, kk, l1, l2, ll, low1, low2,m,ma,err_act - + integer :: l1,l2,m,err_act type(psb_dspmat_type), pointer :: blck_ character(len=20) :: name, ch_err logical, parameter :: debug=.false. diff --git a/prec/psb_dprc_aply.f90 b/prec/psb_dprc_aply.f90 index 3befaca8..5b98d674 100644 --- a/prec/psb_dprc_aply.f90 +++ b/prec/psb_dprc_aply.f90 @@ -144,7 +144,7 @@ subroutine psb_dprc_aply1(prec,x,desc_data,info,trans) ! Local variables character :: trans_ - integer :: ictxt,np,me,i, err_act + integer :: ictxt,np,me, err_act real(kind(1.d0)), pointer :: WW(:), w1(:) character(len=20) :: name name='psb_dprec_aply1' diff --git a/prec/psb_dprecbld.f90 b/prec/psb_dprecbld.f90 index 2a86331b..7feb6603 100644 --- a/prec/psb_dprecbld.f90 +++ b/prec/psb_dprecbld.f90 @@ -41,10 +41,8 @@ subroutine psb_dprecbld(a,desc_a,p,info,upd) character, intent(in), optional :: upd ! Local scalars - Integer :: err, nnzero, n_row, n_col,I,j,k,ictxt,& - & me,mycol,np,npcol,mglob,lw, mtype, nrg, nzg, err_act - real(kind(1.d0)) :: temp, real_err(5) - real(kind(1.d0)),pointer :: gd(:), work(:) + Integer :: err, n_row, n_col,ictxt,& + & me,np,mglob, err_act integer :: int_err(5) character :: iupd diff --git a/prec/psb_prec_type.f90 b/prec/psb_prec_type.f90 index 07cf3f48..79308dd3 100644 --- a/prec/psb_prec_type.f90 +++ b/prec/psb_prec_type.f90 @@ -126,7 +126,6 @@ contains use psb_base_mod integer, intent(in) :: iout type(psb_dprec_type), intent(in) :: p - integer :: ilev write(iout,*) 'Preconditioner description' select case(p%iprcparm(p_type_)) @@ -225,7 +224,7 @@ contains use psb_base_mod type(psb_dprec_type), intent(inout) :: p integer, intent(out) :: info - integer :: ictxt,me, np,err_act,i + integer :: me, err_act,i character(len=20) :: name if(psb_get_errstatus().ne.0) return info=0 @@ -299,7 +298,7 @@ contains use psb_base_mod type(psb_zprec_type), intent(inout) :: p integer, intent(out) :: info - integer :: ictxt,me, np,err_act,i + integer :: err_act,i character(len=20) :: name if(psb_get_errstatus().ne.0) return info=0 diff --git a/prec/psb_zbjac_aply.f90 b/prec/psb_zbjac_aply.f90 index 767db45a..9f39fca8 100644 --- a/prec/psb_zbjac_aply.f90 +++ b/prec/psb_zbjac_aply.f90 @@ -50,10 +50,8 @@ subroutine psb_zbjac_aply(alpha,prec,x,beta,y,desc_data,trans,work,info) ! Local variables integer :: n_row,n_col - complex(kind(1.d0)), pointer :: ww(:), aux(:), tx(:),ty(:),tb(:) - character ::diagl, diagu - integer :: ictxt,np,me,i, isz, nrg, err_act, int_err(5) - real(kind(1.d0)) :: t1, t2, t3, t4, t5, t6, t7 + complex(kind(1.d0)), pointer :: ww(:), aux(:) + integer :: ictxt,np,me, err_act, int_err(5) logical,parameter :: debug=.false., debugprt=.false. character(len=20) :: name, ch_err diff --git a/prec/psb_zbjac_bld.f90 b/prec/psb_zbjac_bld.f90 index 2b692d5a..fd49f714 100644 --- a/prec/psb_zbjac_bld.f90 +++ b/prec/psb_zbjac_bld.f90 @@ -42,14 +42,13 @@ subroutine psb_zbjac_bld(a,desc_a,p,upd,info) character, intent(in) :: upd ! .. Local Scalars .. - integer :: i, j, jj, k, kk, m + integer :: i, m integer :: int_err(5) character :: trans, unitd - type(psb_zspmat_type) :: blck, atmp + type(psb_zspmat_type) :: atmp real(kind(1.d0)) :: t1,t2,t3,t4,t5,t6, t7, t8 logical, parameter :: debugprt=.false., debug=.false., aggr_dump=.false. - integer nztota, nztotb, nztmp, nzl, nnr, ir, err_act,& - & n_row, nrow_a,n_col, nhalo, ind, iind, i1,i2,ia + integer nztota, err_act, n_row, nrow_a,n_col, nhalo integer :: ictxt,np,me character(len=20) :: name, ch_err diff --git a/prec/psb_zdiagsc_bld.f90 b/prec/psb_zdiagsc_bld.f90 index 5abdefeb..aa2e4927 100644 --- a/prec/psb_zdiagsc_bld.f90 +++ b/prec/psb_zdiagsc_bld.f90 @@ -42,11 +42,10 @@ subroutine psb_zdiagsc_bld(a,desc_a,p,upd,info) ! Local scalars - Integer :: err, n_row, n_col,I,j,k,ictxt,& - & me,np,mglob,lw, err_act + Integer :: err, n_row, n_col,I,ictxt,& + & me,np,mglob,err_act complex(kind(1.d0)),pointer :: gd(:), work(:) integer :: int_err(5) - character :: iupd logical, parameter :: debug=.false. integer,parameter :: iroot=0,iout=60,ilout=40 diff --git a/prec/psb_zgprec_aply.f90 b/prec/psb_zgprec_aply.f90 index 8dd72a10..06639248 100644 --- a/prec/psb_zgprec_aply.f90 +++ b/prec/psb_zgprec_aply.f90 @@ -47,11 +47,10 @@ subroutine psb_zgprec_aply(alpha,prec,x,beta,y,desc_data,trans,work,info) integer, intent(out) :: info ! Local variables - integer :: n_row,n_col, int_err(5) - complex(kind(1.d0)), pointer :: ww(:), aux(:), tx(:),ty(:) + integer :: n_row,int_err(5) + complex(kind(1.d0)), pointer :: ww(:) character ::diagl, diagu - integer :: ictxt,np,me,i, isz, nrg, err_act - real(kind(1.d0)) :: t1, t2, t3, t4, t5, t6, t7 + integer :: ictxt,np,me, err_act logical,parameter :: debug=.false., debugprt=.false. character(len=20) :: name, ch_err diff --git a/prec/psb_zilu_fct.f90 b/prec/psb_zilu_fct.f90 index 403b17a4..c28901f8 100644 --- a/prec/psb_zilu_fct.f90 +++ b/prec/psb_zilu_fct.f90 @@ -45,7 +45,7 @@ subroutine psb_zilu_fct(a,l,u,d,info,blck) type(psb_zspmat_type),intent(in), optional, target :: blck complex(kind(1.d0)), intent(inout) :: d(:) ! .. Local Scalars .. - integer :: i, j, jj, k, kk, l1, l2, ll, low1, low2,m,ma,err_act + integer :: l1, l2,m,err_act type(psb_zspmat_type), pointer :: blck_ character(len=20) :: name, ch_err name='psb_zcsrlu' diff --git a/prec/psb_zprc_aply.f90 b/prec/psb_zprc_aply.f90 index cf5ce1da..77ab31e9 100644 --- a/prec/psb_zprc_aply.f90 +++ b/prec/psb_zprc_aply.f90 @@ -146,9 +146,9 @@ subroutine psb_zprc_aply1(prec,x,desc_data,info,trans) ! Local variables character :: trans_ - integer :: ictxt,np,me,i, isz, err_act, int_err(5) + integer :: ictxt,np,me, err_act complex(kind(1.d0)), pointer :: WW(:), w1(:) - character(len=20) :: name, ch_err + character(len=20) :: name name='psb_zprec1' info = 0 call psb_erractionsave(err_act) diff --git a/prec/psb_zprecbld.f90 b/prec/psb_zprecbld.f90 index de58e101..4f1f53bd 100644 --- a/prec/psb_zprecbld.f90 +++ b/prec/psb_zprecbld.f90 @@ -43,10 +43,8 @@ subroutine psb_zprecbld(a,desc_a,p,info,upd) ! Local scalars - Integer :: err, nnzero, n_row, n_col,I,j,k,ictxt,& - & me,mycol,np,npcol,mglob,lw, mtype, nrg, nzg, err_act - real(kind(1.d0)) :: temp, real_err(5) - real(kind(1.d0)),pointer :: gd(:), work(:) + Integer :: err, n_row, n_col,ictxt,& + & me,np,mglob, err_act integer :: int_err(5) character :: iupd diff --git a/test/pargen/Makefile b/test/pargen/Makefile index 32d2078d..b7afd16b 100644 --- a/test/pargen/Makefile +++ b/test/pargen/Makefile @@ -20,6 +20,11 @@ ppde90: ppde90.o /bin/mv ppde90 $(EXEDIR) +thsrt: thsrt.o + $(F90LINK) thsrt.o -o thsrt $(PSBLAS_LIB) $(LDLIBS) + /bin/mv thsrt $(EXEDIR) + + .f90.o: $(MPF90) $(F90COPT) $(FINCLUDES) $(FDEFINES) -c $< diff --git a/util/psb_blockpart_mod.f90 b/util/psb_blockpart_mod.f90 index b3288ac0..8fc530ef 100644 --- a/util/psb_blockpart_mod.f90 +++ b/util/psb_blockpart_mod.f90 @@ -42,7 +42,6 @@ contains integer, intent(out) :: nv integer, intent(out) :: pv(*) integer dim_block - integer ib1, ib2, ipv dim_block = (n + np - 1)/np nv = 1 diff --git a/util/psb_hbio_mod.f90 b/util/psb_hbio_mod.f90 index 2bd9b16b..1d258d45 100644 --- a/util/psb_hbio_mod.f90 +++ b/util/psb_hbio_mod.f90 @@ -54,7 +54,7 @@ contains character indfmt*16,ptrfmt*16,rhsfmt*20,valfmt*20 integer :: indcrd, ptrcrd, totcrd,& & valcrd, rhscrd, nrow, ncol, nnzero, neltvl, nrhs, nrhsix - integer :: ircode, i,iel,ptr,nzr,infile, j, info + integer :: ircode, i,nzr,infile, info character(len=*), parameter :: fmt10='(a72,a8,/,5i14,/,a3,11x,4i14,/,2a16,2a20)' character(len=*), parameter :: fmt11='(a1,13x,2i14)' character(len=*), parameter :: fmt111='(1x,a8,1x,i8,1x,a10)' @@ -194,7 +194,7 @@ contains character :: rhstype,type*3 - integer :: i,indcrd,nrhsvl,ptrcrd,rhscrd,totcrd,valcrd,& + integer :: i,indcrd,ptrcrd,rhscrd,totcrd,valcrd,& & nrow,ncol,nnzero, neltvl, nrhs, nrhsix iret = 0 @@ -303,7 +303,7 @@ contains character indfmt*16,ptrfmt*16,rhsfmt*20,valfmt*20 integer :: indcrd, ptrcrd, totcrd,& & valcrd, rhscrd, nrow, ncol, nnzero, neltvl, nrhs, nrhsix - integer :: ircode, i,iel,ptr,nzr,infile,j, info + integer :: ircode, i,nzr,infile,info character(len=*), parameter :: fmt10='(a72,a8,/,5i14,/,a3,11x,4i14,/,2a16,2a20)' character(len=*), parameter :: fmt11='(a1,13x,2i14)' character(len=*), parameter :: fmt111='(1x,a8,1x,i8,1x,a10)' @@ -520,7 +520,7 @@ contains character :: rhstype,type*3 - integer :: i,indcrd,nrhsvl,ptrcrd,rhscrd,totcrd,valcrd,& + integer :: i,indcrd,ptrcrd,rhscrd,totcrd,valcrd,& & nrow,ncol,nnzero, neltvl,nrhs,nrhsix iret = 0 diff --git a/util/psb_mat_dist_mod.f90 b/util/psb_mat_dist_mod.f90 index 6f797674..31d2f0f1 100644 --- a/util/psb_mat_dist_mod.f90 +++ b/util/psb_mat_dist_mod.f90 @@ -121,11 +121,11 @@ contains ! local variables integer :: np, iam - integer :: ircode, length_row, i_count, j_count,& - & k_count, blockdim, root, liwork, nrow, ncol, nnzero, nrhs,& - & i,j,k, ll, nz, isize, iproc, nnr, err, err_act, int_err(5) + integer :: length_row, i_count, j_count,& + & k_count, root, liwork, nrow, ncol, nnzero, nrhs,& + & i, ll, nz, isize, iproc, nnr, err, err_act, int_err(5) integer, allocatable :: iwork(:) - character :: afmt*5, atyp*5 + character :: afmt*5 integer, allocatable :: irow(:),icol(:) real(kind(1.d0)), allocatable :: val(:) integer, parameter :: nb=30 @@ -530,11 +530,11 @@ contains character(len=5), optional :: fmt integer :: np, iam - integer :: ircode, length_row, i_count, j_count,& - & k_count, blockdim, root, liwork, nrow, ncol, nnzero, nrhs,& - & i,j,k, ll, nz, isize, iproc, nnr, err, err_act, int_err(5) + integer :: i_count, j_count,& + & root, liwork, nrow, ncol, nnzero, nrhs,& + & i, ll, nz, isize, iproc, nnr, err, err_act, int_err(5) integer, allocatable :: iwork(:) - character :: afmt*5, atyp*5 + character :: afmt*5 integer, allocatable :: irow(:),icol(:) real(kind(1.d0)), allocatable :: val(:) integer, parameter :: nb=30 @@ -867,11 +867,11 @@ contains ! local variables integer :: np, iam - integer :: ircode, length_row, i_count, j_count,& - & k_count, blockdim, root, liwork, nrow, ncol, nnzero, nrhs,& - & i,j,k, ll, nz, isize, iproc, nnr, err, err_act, int_err(5) + integer :: length_row, i_count, j_count,& + & k_count, root, liwork, nrow, ncol, nnzero, nrhs,& + & i, ll, nz, isize, iproc, nnr, err, err_act, int_err(5) integer, allocatable :: iwork(:) - character :: afmt*5, atyp*5 + character :: afmt*5 integer, allocatable :: irow(:),icol(:) complex(kind(1.d0)), allocatable :: val(:) integer, parameter :: nb=30 @@ -1275,11 +1275,11 @@ contains character(len=5), optional :: fmt integer :: np, iam - integer :: ircode, length_row, i_count, j_count,& - & k_count, blockdim, root, liwork, nrow, ncol, nnzero, nrhs,& - & i,j,k, ll, nz, isize, iproc, nnr, err, err_act, int_err(5) + integer :: i_count, j_count,& + & root, liwork, nrow, ncol, nnzero, nrhs,& + & i,ll, nz, isize, iproc, nnr, err, err_act, int_err(5) integer, allocatable :: iwork(:) - character :: afmt*5, atyp*5 + character :: afmt*5 integer, allocatable :: irow(:),icol(:) complex(kind(1.d0)), allocatable :: val(:) integer, parameter :: nb=30 diff --git a/util/psb_metispart_mod.f90 b/util/psb_metispart_mod.f90 index fef3380e..c18cc64a 100644 --- a/util/psb_metispart_mod.f90 +++ b/util/psb_metispart_mod.f90 @@ -134,11 +134,10 @@ contains use psb_base_mod integer :: nparts integer :: ia1(:), ia2(:) - integer :: n, i, ib, ii,numflag,nedc,wgflag + integer :: n, i,numflag,nedc,wgflag character(len=5) :: fida integer, parameter :: nb=512 real(kind(1.d0)), parameter :: seed=12345.d0 - real(kind(1.d0)) :: XV(NB) integer :: iopt(10),idummy(2),jdummy(2) interface subroutine METIS_PartGraphRecursive(n,ixadj,iadj,ivwg,iajw,& diff --git a/util/psb_mmio_mod.f90 b/util/psb_mmio_mod.f90 index 3eb6cd8d..73c5612d 100644 --- a/util/psb_mmio_mod.f90 +++ b/util/psb_mmio_mod.f90 @@ -49,8 +49,8 @@ contains character(len=*), optional, intent(in) :: filename character :: mmheader*15, fmt*15, object*10, type*10, sym*15 character(1024) :: line - integer :: nrow, ncol, nnzero, neltvl, nrhs, nrhsix - integer :: ircode, i,iel,nzr,infile, j + integer :: nrow, ncol, nnzero + integer :: ircode, i,nzr,infile logical, parameter :: debug=.false. iret = 0 @@ -204,8 +204,8 @@ contains character(len=*), optional, intent(in) :: filename character :: mmheader*15, fmt*15, object*10, type*10, sym*15 character(1024) :: line - integer :: nrow, ncol, nnzero, neltvl, nrhs, nrhsix - integer :: ircode, i,iel,nzr,infile,j + integer :: nrow, ncol, nnzero + integer :: ircode, i,nzr,infile real(kind(1.d0)) :: are, aim logical, parameter :: debug=.false. diff --git a/util/psb_read_mat_mod.f90 b/util/psb_read_mat_mod.f90 index 4936467d..a469a3ea 100644 --- a/util/psb_read_mat_mod.f90 +++ b/util/psb_read_mat_mod.f90 @@ -77,15 +77,15 @@ contains character(len=*) :: filename integer, optional :: inroot integer, parameter :: infile = 2 - integer :: info, root, nprow, npcol, myprow, mypcol + integer :: info, root, np, me if (present(inroot)) then root = inroot else root = 0 end if - call psb_info(ictxt, myprow, nprow) - if (myprow == root) then + call psb_info(ictxt, me, np) + if (me == root) then write(*, '("Reading matrix...")') ! open input file call mm_mat_read(a,info,infile,filename) if (info /= 0) then @@ -105,7 +105,7 @@ contains character :: filename*(*) integer, optional :: inroot integer, parameter :: infile = 2 - integer :: nrow, ncol, i,root, nprow, npcol, myprow, mypcol, ircode, j + integer :: nrow, ncol, i,root, np, me, ircode, j character :: mmheader*15, fmt*15, object*10, type*10, sym*15,& & line*1024 real(kind(1.0d0)), allocatable :: b(:,:) @@ -114,8 +114,8 @@ contains else root = 0 end if - call psb_info(ictxt, myprow, nprow) - if (myprow == root) then + call psb_info(ictxt, me, np) + if (me == root) then write(*, '("Reading rhs...")') ! open input file open(infile,file=filename, status='old', err=901, action="read") read(infile,fmt=*, end=902) mmheader, object, fmt, type, sym @@ -165,15 +165,15 @@ contains character(len=*) :: filename integer, optional :: inroot integer, parameter :: infile = 2 - integer :: info, root, nprow, npcol, myprow, mypcol + integer :: info, root, np, me if (present(inroot)) then root = inroot else root = 0 end if - call psb_info(ictxt, myprow, nprow) - if (myprow == root) then + call psb_info(ictxt, me, np) + if (me == root) then write(*, '("Reading matrix...")') ! open input file call mm_mat_read(a,info,infile,filename) if (info /= 0) then @@ -193,7 +193,7 @@ contains character :: filename*(*) integer, optional :: inroot integer, parameter :: infile = 2 - integer :: nrow, ncol, i,root, nprow, npcol, myprow, mypcol, ircode, j + integer :: nrow, ncol, i,root, np, me, ircode, j character :: mmheader*15, fmt*15, object*10, type*10, sym*15,& & line*1024 real(kind(1.d0)) :: bre, bim @@ -203,8 +203,8 @@ contains else root = 0 end if - call psb_info(ictxt, myprow, nprow) - if (myprow == root) then + call psb_info(ictxt, me, np) + if (me == root) then write(*, '("Reading rhs...")') ! open input file open(infile,file=filename, status='old', err=901, action="read") read(infile,fmt=*, end=902) mmheader, object, fmt, type, sym