Added generic interface psb_scalplusidentity for long integer spmat

merge-paraggr-newops
Cirdans-Home 5 years ago
parent e108416719
commit dc55713541

@ -418,6 +418,7 @@ module psb_c_mat_mod
procedure, pass(a) :: scals => psb_lc_scals procedure, pass(a) :: scals => psb_lc_scals
procedure, pass(a) :: scalv => psb_lc_scal procedure, pass(a) :: scalv => psb_lc_scal
generic, public :: scal => scals, scalv generic, public :: scal => scals, scalv
procedure, pass(a) :: scalpid => psb_lc_scalplusidentity
end type psb_lcspmat_type end type psb_lcspmat_type
@ -1762,6 +1763,15 @@ module psb_c_mat_mod
end subroutine psb_lc_scals end subroutine psb_lc_scals
end interface end interface
interface psb_scalplusidentity
subroutine psb_lc_scalplusidentity(d,a,info)
import :: psb_ipk_, psb_lpk_, psb_lcspmat_type, psb_spk_
class(psb_lcspmat_type), intent(inout) :: a
complex(psb_spk_), intent(in) :: d
integer(psb_ipk_), intent(out) :: info
end subroutine psb_lc_scalplusidentity
end interface
interface interface
function psb_lc_maxval(a) result(res) function psb_lc_maxval(a) result(res)
import :: psb_ipk_, psb_lpk_, psb_lcspmat_type, psb_spk_ import :: psb_ipk_, psb_lpk_, psb_lcspmat_type, psb_spk_

@ -418,6 +418,7 @@ module psb_d_mat_mod
procedure, pass(a) :: scals => psb_ld_scals procedure, pass(a) :: scals => psb_ld_scals
procedure, pass(a) :: scalv => psb_ld_scal procedure, pass(a) :: scalv => psb_ld_scal
generic, public :: scal => scals, scalv generic, public :: scal => scals, scalv
procedure, pass(a) :: scalpid => psb_ld_scalplusidentity
end type psb_ldspmat_type end type psb_ldspmat_type
@ -1762,6 +1763,15 @@ module psb_d_mat_mod
end subroutine psb_ld_scals end subroutine psb_ld_scals
end interface end interface
interface psb_scalplusidentity
subroutine psb_ld_scalplusidentity(d,a,info)
import :: psb_ipk_, psb_lpk_, psb_ldspmat_type, psb_dpk_
class(psb_ldspmat_type), intent(inout) :: a
real(psb_dpk_), intent(in) :: d
integer(psb_ipk_), intent(out) :: info
end subroutine psb_ld_scalplusidentity
end interface
interface interface
function psb_ld_maxval(a) result(res) function psb_ld_maxval(a) result(res)
import :: psb_ipk_, psb_lpk_, psb_ldspmat_type, psb_dpk_ import :: psb_ipk_, psb_lpk_, psb_ldspmat_type, psb_dpk_

@ -418,6 +418,7 @@ module psb_s_mat_mod
procedure, pass(a) :: scals => psb_ls_scals procedure, pass(a) :: scals => psb_ls_scals
procedure, pass(a) :: scalv => psb_ls_scal procedure, pass(a) :: scalv => psb_ls_scal
generic, public :: scal => scals, scalv generic, public :: scal => scals, scalv
procedure, pass(a) :: scalpid => psb_ls_scalplusidentity
end type psb_lsspmat_type end type psb_lsspmat_type
@ -1762,6 +1763,15 @@ module psb_s_mat_mod
end subroutine psb_ls_scals end subroutine psb_ls_scals
end interface end interface
interface psb_scalplusidentity
subroutine psb_ls_scalplusidentity(d,a,info)
import :: psb_ipk_, psb_lpk_, psb_lsspmat_type, psb_spk_
class(psb_lsspmat_type), intent(inout) :: a
real(psb_spk_), intent(in) :: d
integer(psb_ipk_), intent(out) :: info
end subroutine psb_ls_scalplusidentity
end interface
interface interface
function psb_ls_maxval(a) result(res) function psb_ls_maxval(a) result(res)
import :: psb_ipk_, psb_lpk_, psb_lsspmat_type, psb_spk_ import :: psb_ipk_, psb_lpk_, psb_lsspmat_type, psb_spk_

@ -418,6 +418,7 @@ module psb_z_mat_mod
procedure, pass(a) :: scals => psb_lz_scals procedure, pass(a) :: scals => psb_lz_scals
procedure, pass(a) :: scalv => psb_lz_scal procedure, pass(a) :: scalv => psb_lz_scal
generic, public :: scal => scals, scalv generic, public :: scal => scals, scalv
procedure, pass(a) :: scalpid => psb_lz_scalplusidentity
end type psb_lzspmat_type end type psb_lzspmat_type
@ -1762,6 +1763,15 @@ module psb_z_mat_mod
end subroutine psb_lz_scals end subroutine psb_lz_scals
end interface end interface
interface psb_scalplusidentity
subroutine psb_lz_scalplusidentity(d,a,info)
import :: psb_ipk_, psb_lpk_, psb_lzspmat_type, psb_dpk_
class(psb_lzspmat_type), intent(inout) :: a
complex(psb_dpk_), intent(in) :: d
integer(psb_ipk_), intent(out) :: info
end subroutine psb_lz_scalplusidentity
end interface
interface interface
function psb_lz_maxval(a) result(res) function psb_lz_maxval(a) result(res)
import :: psb_ipk_, psb_lpk_, psb_lzspmat_type, psb_dpk_ import :: psb_ipk_, psb_lpk_, psb_lzspmat_type, psb_dpk_

Loading…
Cancel
Save